阿部 公輝

名誉教授・その他関係者名誉教授

学位

  • 工学修士, 横浜国立大学
  • 理学博士, 東京大学

研究キーワード

  • VLSI circuits
  • water marking
  • tamper proofing
  • cryptosystems
  • internet protocols
  • asynchronous systems
  • branch prediction
  • microprocessor
  • VLSI(大規模集積回路)
  • 電子透かし
  • 暗号攻撃耐性
  • 暗号実装
  • インターネットプロトコル
  • 非同期システム
  • 分岐予測
  • マイクロプロセッサ

経歴

  • 2012年04月01日
    電気通信大学, 特命教授
  • 2008年04月01日
    電気通信大学, 教授

学歴

  • 1974年03月
    東京大学, 理学系研究科, 物理学専攻
  • 1969年03月
    横浜国立大学, 工学部, 電気工学科

論文

  • 帯域の有効利用と公平性を考慮した機械学習型TCP輻輳制御
    塩津晃明; 矢崎俊志; 阿部公輝
    電気学会論文誌C(電子・情報・システム部門誌), 133巻, 6号, 掲載ページ 掲載予定, 出版日 2013年06月, 査読付
    研究論文(学術雑誌), 日本語
  • 分岐方向による予測失敗率の差異を考慮した分岐予測信頼性判定
    二ノ宮康之; 阿部公輝
    電子情報通信学会論文誌D, J93-D巻, 11号, 掲載ページ 2368-2379, 出版日 2010年11月, 査読付
    研究論文(学術雑誌), 日本語
  • A CAM-based Low-power Highly Associative Cache for High-performance Embedded Processors
    S. Okabe; K. Abe
    Proc. International Workshop on Modern Science and Technology 2010, 掲載ページ 88-93, 出版日 2010年09月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Proposal of Stack-based Garbage Collection and Its Evaluation in Scripting Language Lua
    S. Komuro; K. Abe
    Proc. International Workshop on Modern Science and Technology 2010, 掲載ページ 94-99, 出版日 2010年09月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Machine-learning Approach to Improve TCP Congestion Control
    A. Shiozu; K. Abe
    Proc. International Workshop on Modern Science and Technology 2010, 掲載ページ 277-282, 出版日 2010年09月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • SRAMの世代分割によりCAMを有効利用する低電力高連想度キャッシュ
    岡部翔; 阿部公輝
    組込みシステムシンポジウム2009(ESS2009)論文集, 掲載ページ 117-126, 出版日 2009年10月, 査読付
    研究論文(学術雑誌), 日本語
  • パーセプトロン分岐予測器を用いた予測信頼性の動的判定に基づく電力削減
    二ノ宮康之; 阿部公輝
    SACSIS 2009 - 先進的計算基盤システムシンポジウム論文集, 掲載ページ 327-334, 出版日 2009年05月, 査読付
    研究論文(学術雑誌), 日本語
  • VLSI Design of Karatsuba Integer Multipliers and Its Evaluation
    Syunji Yazaki; Koki Abe
    ELECTRONICS AND COMMUNICATIONS IN JAPAN, SCRIPTA TECHNICA-JOHN WILEY & SONS, 92巻, 4号, 掲載ページ 9-20, 出版日 2009年04月, 査読付, Multidigit multiplication is widely used for various applications in recent years, including numerical calculation, chaos arithmetic, and primality testing. Systems with high performance and low energy Consumption are demanded, especially for image processing and communications with cryptography using chaos. Karatsuba algorithm with computational complexity of O(n(1.58)) has been employed in software For multiplication of hundreds to thousands of bits, where n stands for bit-length of, operands. In this paper, hardware design of multidigit integer multiplication based on Karatsuba algorithm is described and its VLSI realization is evaluated in terms of the cost, performance, and energy consumption. We present two design choices of the Karatsuba hardware: RKM (Recursive Karatsuba Multiplier) and IKM (Iterative Karatsuba Multiplier). We found that RKM has less area cost than WTM (Wallace Tree Multiplier) for bit-length larger than 2(9) with area cost of 30 mm(2). Critical path delay of RKM is always larger than that of WTM. Therefore, we should use WTM as combinational circuits for IKM to have better cost performance. We also found that a version of IKM using 0.18 pm process can perform 1024-bit rnultiplications 30 times faster than software at the area cost of 10.9 mm(2). Energy for the Computation by the IKM version Was found to be nearly 1/600 of that consumed by general-purpose processor which executes the software. The results obtained by this study will help system designers for applications requiring multidigit multiplication to select design alternatives including ASIC realization. (C) 2009 Wiley Periodicals, Inc. Electron Comm Jpn, 92(4): 9-20, 2009; Published online in Wiley InterScience (www.interscience.wiley.com). DOI 10.1002/ecj.10086
    研究論文(学術雑誌), 英語
  • 学習論的アプローチによるTCP輻輳制御アルゴリズムの提案と評価
    塩津晃明; 阿部公輝
    電子情報通信学会論文誌B, J92-B巻, 1号, 掲載ページ 174-184, 出版日 2009年01月, 査読付
    研究論文(学術雑誌), 日本語
  • A Protocol Specification-Based Intrusion Detection System for VoIP and Its Evaluation
    Thyda Phit; Koki Abe
    IEICE TRANSACTIONS ON COMMUNICATIONS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E91B巻, 12号, 掲載ページ 3956-3965, 出版日 2008年12月, 査読付, We propose an architecture of Intrusion Detection System (IDS) for VoIP using a protocol specification-based detection method to monitor the network traffics and alert administrator for further analysis of and response to suspicious activities. The protocol behaviors and their interactions are described by state machines. Traffic that behaves differently from the standard specifications are considered to be suspicious. The IDS has been implemented and simulated using OPNET Modeler, and verified to detect attacks. It was found that our system can detect typical attacks within a reasonable amount of delay time.
    研究論文(学術雑誌), 英語
  • Algorithm-Level Evaluation of Cryptosystems Resistance to DPA
    A. Sasaki; K. Abe
    Electrical Engineering in Japan, 165巻, 3号, 掲載ページ 37-45, 出版日 2008年11月, 査読付
    研究論文(学術雑誌), 英語
  • Parallel architecture for 2-D discrete wavelet transform with low energy consumption
    Nozomi Ishihara; Koki Abe
    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E91A巻, 8号, 掲載ページ 2068-2075, 出版日 2008年08月, 査読付, A novel two-dimensional discrete wavelet transform (2-DDWT) parallel architecture for higher throughput and lower energy consumption is proposed. The proposed architecture fully exploits full-page burst accesses of DRAM and minimizes the number of DRAM activate and precharge operations. Simulation results revealed that the architecture reduces the number of clock cycles for DRAM memory accesses as well as the DRAM power consumption with moderate cost of internal memory. Evaluation of the VLSI implementation of the architecture showed that the throughput of wavelet filtering was increased by parallelizing row filtering with a minimum area cost, thereby enabling DRAM full-page burst accesses to be exploited.
    研究論文(学術雑誌), 英語
  • CPBP:実行パス履歴を有効に利用する低コスト高精度パーセプトロン分岐予測器
    二ノ宮康之; 阿部公輝
    情報処理学会論文誌: コンピューティングシステム, 情報処理学会, 1巻, 1号, 掲載ページ 96-104, 出版日 2008年06月, 査読付, パーセプトロン分岐予測器は高い予測精度を示すが,構造が複雑で実装コストが大きいという欠点を持つ.これは多数の重みを利用することに起因する.本稿では新しいパーセプトロン分岐予測器を提案する.これは,(1) 1つの分岐命令の予測に使用する重みの数を減らすことにより実装コストを削減し,(2) 詳細な実行パス履歴とグローバル履歴の一部をインデックスに利用することにより予測精度を向上させる.使用できる記憶容量を一定とすると,本手法により従来法より低い実装コストで高い予測精度を持つパーセプトロン分岐予測器が実現できる.Perceptron branch predictors have been extensively studied in recent years in an attempt to reduce misprediction rates. However, it has the disadvantage that the implementation cost is high due to its complex structure. The complexity comes from a large number of weight tables they use. In this paper, we propose a new perceptron branch predictor that reduces the cost by reducing the number of weight tables, and increases the prediction rates by using detailed execution path history and part of global history as the index of weight tables. Given a constant amount of storage available, the proposed scheme enables to increase the prediction accuracy with less implementation costs compared to previous perceptron predictors.
    研究論文(学術雑誌), 日本語
  • 2次元離散ウェーブレット変換の低消費電力アーキテクチャ
    石原希実; 阿部公輝
    電子情報通信学会論文誌A, J91-A巻, 3号, 掲載ページ 328-338, 出版日 2008年03月, 査読付
    研究論文(学術雑誌), 日本語
  • Karatsuba 整数乗算器のVLSI設計と評価
    矢崎俊志; 阿部公輝
    電気学会論文誌C(電子・情報・システム部門誌), 128巻, 2号, 掲載ページ 220-230, 出版日 2008年02月, 査読付
    研究論文(学術雑誌), 日本語
  • Mathematical Analysis of JPEG 2000 Wavelet Filter Tiling Approaches and Its Experimental Verification
    S. M. Hanif; K. Abe
    Proc. The 5th IASTED International Conference on Signal Processing, Pattern Recognition and Applications, 掲載ページ 250-255, 出版日 2008年02月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 2-DDWT Parallel Architecture Fully Exploiting DRAM Burst Accesses
    N. Ishihara; K. Abe
    Proc. The 5th IASTED International Conference on Signal Processing, Pattern Recognition and Applications, 掲載ページ 238-243, 出版日 2008年02月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Algorithm Level Evaluation of Cryptosystem Resistance to Second-Order DPA
    A. Sasaki; K. Abe
    Proc. The 4th IASTED International Conference on Communication, Network and Information Security, 掲載ページ 16-21, 出版日 2007年09月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A semi-fragile watermarking scheme using weighted vote with sieve and emphasis for image authentication
    Nozomi Ishihara; Koki Abe
    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E90A巻, 5号, 掲載ページ 1045-1054, 出版日 2007年05月, 査読付, This paper describes a semi-fragile watermarking scheme for image authentication and tamper-proofing. Each watermark bit is duplicated and randomly embedded in the original image in the discrete wavelet domain by modifying the corresponding image coefficients through quantization. The modifications are made so that they have little effect on the image and that the watermarking is robust against tampering. The watermark image for authentication is reconstructed by taking a weighted vote on the extracted bits.,The bits that lose the vote are treated as having been tampered with, and the locations of the lost bits as indicating tampered positions. Thus, authentication and tamper-proofing can be done by observing the images of watermarks that win and lose votes. Sieving, emphasis, and weighted vote were found to be effectively make the authentication and tamper detection more accurate. The proposed scheme is robust against JPEG compression or acceptable modifications, but sensitive to malicious attacks such as cutting and pasting.
    研究論文(学術雑誌), 英語
  • A3PBP: A Path Traced Perceptron Branch Predictor Using Local History for Weight Selection
    Y. Ninomiya; K. Abe
    The Journal of Instruction-Level Parallelism (Electronic Archival Journal), 9巻, 掲載ページ 1-18, 出版日 2007年05月, 査読付
    研究論文(学術雑誌), 英語
  • Path Traced Perceptron Branch Predictor Using Local History for Weight Selection
    Y. Ninomiya; K. Abe
    The 2nd JILP Championship Branch Prediction Competition (CBP-2) in conjunction with The 39th Annual IEEE/ACM International Symposium on Microarchitecture, 掲載ページ 7-12, 出版日 2006年12月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • VLSI Design of Iterative Karatsuba Multiplier and Its Evaluation
    S. Yazaki; K. Abe
    Proc. The 4th IASTED International Conference on Circuits, Signals, and Systems, 掲載ページ 313-318, 出版日 2006年11月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • An Efficient 2-D DWT Architecture with Reduced Memory Accesses for Low Energy Consumption
    N. Ishihara; K. Abe
    Proc. The 4th IASTED International Conference on Circuits, Signals, and Systems, 掲載ページ 273-278, 出版日 2006年11月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • 暗号回路への電力差分解析攻撃に対するアルゴリズムレベルでの耐性評価
    佐々木明彦; 阿部公輝
    電気学会論文誌C(電子・情報・システム部門誌), The Institute of Electrical Engineers of Japan, 126巻, 10号, 掲載ページ 1221-1228, 出版日 2006年10月, 査読付, Paul Kocher has proposed a cryptanalysis technique called Differential Power Analysis (DPA), in which attackers derive secret information such as private keys from a statistical analysis of the power consumption by the target device. There is now a demand to evaluate the DPA resistivity of cryptographic device before the device is actually created. In this paper, we focus on simulating DPA with high speed at algorithm level in upstream of the design process. Messerges used Power Leakage Model to obtain power consumption from Hamming Weight for proof of high-order DPA. However, the correctness of the model has not been verified. In this paper, we verify that difference of power consumption in DPA can be obtained from Power Leakage Model by investigating the cause of power consumption of CMOS circuits and transition probability of logic gates. The verification is performed by means of a circuit simulator. Next we describe a method of performing algorithm level simulation which calculates power consumption using Power Leakage Model. We illustrate the effectiveness of the method by applying it to DPA resistivity evaluation of DES implementation.
    研究論文(学術雑誌), 日本語
  • Packet Inter-Arrival Time Estimation Using Neural Network Models
    T. Phit; K. Abe
    インターネットコンファレンス2006論文集, インターネットコンファレンス実行委員会, 2006巻, 掲載ページ 51-57, 出版日 2006年10月, 査読付
    研究論文(学術雑誌), 英語
  • VLSI Implementation of Karatsuba Algorithm and Its Evaluation
    S. Yazaki; K. Abe
    Proc. International Workshop on Modern Science and Technology 2006, 掲載ページ 378-383, 出版日 2006年05月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • パーセプトロン分岐予測器への冗長入力付加の効果とその最適化
    澁川 誠; 二ノ宮 康之; 阿部 公輝; 小林 聡
    SACSIS 2006 - 先進的計算基盤システムシンポジウム論文集, 掲載ページ 307-314, 出版日 2006年05月, 査読付
    研究論文(その他学術会議資料等), 日本語
  • A cost-effective handshake protocol and its implementation for bundled-data asynchronous circuits
    M Shimizu; K Abe
    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E89A巻, 1号, 掲載ページ 280-287, 出版日 2006年01月, 査読付, We propose and implement a four-phase handshake protocol for bundled-data asynchronous circuits with consideration given to power consumption and area. A key aspect is that our protocol uses three phases for generating the matched delay to signal the completion of the data-path stage operation whereas conventional methods use only one phase. A comparison with other protocols at 0.18 mu m process showed that our protocol realized lower power consumption than any other protocol at cycle times of 1.2 ns or more. The area of the delay generator required for a given data-path delay was less than half that of other protocols. The overhead of the timing generator was the same as or less than that of other protocols.
    研究論文(学術雑誌), 英語
  • An Optimum Design of FFT Multi-Digit Multiplier and Its VLSI Implementation
    S. Yazaki; K. Abe
    Bulletin of the University of Electro-Communications, 18巻, 1,2号, 掲載ページ 39-46, 出版日 2006年01月, 査読付
    研究論文(大学,研究機関等紀要), 英語
  • A resource donation based approach to load balancing of peer-to-peer overlay networks
    Chanaka Ratnayake; Kǒki Abe
    IET Conference Publications, 518号, 掲載ページ 185-191, 出版日 2006年, 査読付, Distributed hash table (DHT) is the current emerging technology among the peer-to-peer computing research community, due to their scalability, self organization and the lookup efficiency. However, load balancing is an issue in these DHT based peer-to-peer overlay networks. Some nodes are mapped with more data keys, and some are mapped with less data keys regardless of their heterogeneous capabilities, resulting in overloaded and under-loaded nodes. In this paper we describe a resource donation based approach to the load balancing of current DHT based overlay networks. Our approach assigns node identifiers by considering heterogeneous capabilities of the nodes. Nodes which donate more resources are assigned with more node identifiers. This kind of resource donation based multiple node identifiers assigning approach to the load balancing is not well known among the DHT research community. We use the DHT protocol Cycloid to evaluate our approach to load balancing. The simulation results show that this approach is capable of balancing the load on a node due to the key distribution when node heterogeneous capabilities are taken into account, and this also improves the overall lookup efficiency.
    研究論文(国際会議プロシーディングス), 英語
  • インターリーブ型剰余乗算回路の評価
    葛毅; 櫻井隆雄; ルォン・ディン・フォン; 阿部公輝; 坂井修一
    電子情報通信学会論文誌A, J88-A巻, 12号, 掲載ページ 1497-1505, 出版日 2005年12月, 査読付
    研究論文(学術雑誌), 日本語
  • FFT多倍長乗算器のVLSI設計
    矢崎俊志; 阿部公輝
    日本応用数理学会論文誌, 15巻, 3号, 掲載ページ 385-401, 出版日 2005年09月, 査読付
    研究論文(学術雑誌), 日本語
  • Approaches in Increasing Resource Efficiency of Tile Size Conversion Algorithm for 2D DWT Image Data
    S. M. Hanif; K. Abe
    ICGST International Journal on Graphics, Vision and Image Processing, Invited, SI1巻, 掲載ページ 17-24, 出版日 2005年05月, 査読付
    英語
  • Hardware Design and Implementation of IP-over-1394 Protocol Stack and Its Evaluation
    K. Abe; M. Y. Hassan
    IEEJ Trans. Electronics, Information and Systems, The Institute of Electrical Engineers of Japan, 125巻, 3号, 掲載ページ 413-419, 出版日 2005年03月, 査読付, This paper describes the hardware design of core functions of the Internet protocol IP over IEEE1394 interface (IP over 1394) and its implementation on an FPGA. The design was evaluated by counting the number of FPGA logic elements required for the implementation. Using a system clock of 49.152MHz, we verified that packets sent from an application on top of the protocol stack were correctly received by the other protocol stack via the IEEE1394 port at a transfer rate of 400 Mbps. We also verified the communication behaviors of the design with an isochronous resource manager to reserve a channel prior to data transmissions. The hardware cost of the core IP layer was less than that of the link layer. The evaluation results will help the IP-over-1394 designers explore quantitatively various spectrum of the software/hardware design alternatives.
    研究論文(学術雑誌), 英語
  • An Efficient 1D Noise Reduction Approach in Tile Size Conversion of 2D DWT Image Data
    S. M. Hanif; K. Abe
    Proc. The 2nd International Conference on Intelligent Computing and Information Systems, 掲載ページ 596-602, 出版日 2005年03月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Semi-Fragile Watermarking Scheme for Image Authentication and Tamper-Proofing
    N. Ishihara; K. Abe
    Proc. The 2nd International Conference on Intelligent Computing and Information Systems, 掲載ページ 590-595, 出版日 2005年03月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • An integrated laboratory for processor organization, compiler design, and computer networking
    K Abe; T Tateoka; M Suzuki; Y Maeda; K Kono; T Watanabe
    IEEE TRANSACTIONS ON EDUCATION, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 47巻, 3号, 掲載ページ 311-320, 出版日 2004年08月, 査読付, An integrated laboratory dealing with processor organization, compiler design, and computer networking has been developed. The goals of the laboratory are to make it possible for each student to work with modern and attractive materials and to learn about the interfaces between system modules, to provide students with opportunities to collaborate in the construction of a large system, and to give students a sense of accomplishment. The goals have been met based on the responses of students who have used it, verifying its effectiveness. This paper describes the design and development of the baseline components to be integrated, the laboratory organization and schedule, and the results and evaluation of the laboratory.
    研究論文(学術雑誌), 英語
  • Low Power Design of Local-Timing Generator for Locally Timed Asynchrounous Circuits
    M. Shimizu; K. Abe
    Proc. International Symposium on Low-Power and High-Speed Chips (COOL Chips VII), Poster, 掲載ページ 76, 出版日 2004年04月
    研究論文(国際会議プロシーディングス), 英語
  • Sequence length conversion of wavelet transformed image data using partial retrieval of intermediate coefficients
    SM Hanif; K Abe
    Proceedings of the Sixth IASTED International Conference on Signal and Image Processing, ACTA PRESS, 掲載ページ 159-166, 出版日 2004年, 査読付, Wavelet transformation has been adopted in the recent JPEG2000 image compression standard considering its multifarious advantages. But due to its inherent computational complexity, processing the whole image at one time in a mobile port will result in a higher circuit and memory requirements. If the image can be transmitted to the end port in a way so that it can be decoded part by part, these requirements can be lowered. It can be achieved by dividing the transformed coefficient array to smaller length sequences in an intermediate gateway, while sending an image to a mobile port from another system. An effective algorithm for dividing the image coefficient array through retrieving pixels near the division border and then correcting the coefficients for symmetric extension has been proposed earlier. In this paper we will investigate the approach of sequence length conversion through partial retrieval of the intermediate coefficients rather than retrieving the pixels themselves. We will show that through this approach it is possible to increase the processing and memory efficiency in the gateway processor, while containing the noise below a permissible limit. Improvement in PSNR through overflow correction in post-processing is also discussed.
    研究論文(国際会議プロシーディングス), 英語
  • 教育用簡易 UDP/IP スタック TinyIP の設計と実装
    楯岡孝道; 阿部公輝
    電子情報通信学会論文誌B, 一般社団法人電子情報通信学会, J86-B巻, 8号, 掲載ページ 1553-1560, 出版日 2003年08月, 査読付, インターネットプロトコル技術は非常に重要な教育テーマであり,広くその動作原理の理解が求められている.このような教育には,実際に動作する実装を用いた手法が有効である.現在用いられているプロトコル実装はたび重なる改良によって複雑になり,その理解が難しいという問題である.そこで筆者らは,通信に必要な最低限の機能のみをもつ教育用簡易UDP/IPスタックTinyIPを設計,実装した.最低限の機能のみを選択した結果,TinyIPはコメントを含めても1,700行以下で実装されている.このため学生はこれを容易に理解し,改良することが可能となっている.本論文では,TinyIPの設計,実装,及びTinyIPを用いた教育や研究成果について述べる.
    研究論文(学術雑誌), 日本語
  • FPGAを使った論理回路用実験装置
    奈良岡雅人; 鈴木貢; 楯岡孝道; 阿部公輝
    電気通信大学紀要, 電気通信大学, 15巻, 2号, 掲載ページ 215-218, 出版日 2003年01月
    研究論文(大学,研究機関等紀要), 日本語
  • Implementation of IP-over-IEEE1394 on FPGA and Its Evaluation
    M. Y. Hassan; K. Abe
    Bulletin of the University of Electro-Communications, 電気通信大学, 15巻, 2号, 掲載ページ 219-227, 出版日 2003年01月
    研究論文(大学,研究機関等紀要), 英語
  • Specification of TinyIPv6 Protocol Stack for Remote Control and Its Implementation on FPGA
    Y. Izuhara; K. Morita; T. Tateoka; K. Abe
    IPSJ Journal, 43巻, 11号, 掲載ページ 3540-3548, 出版日 2002年11月, 査読付
    研究論文(学術雑誌), 英語
  • MinIPSコンピュータシステムによるプロセッサ/コンパイラ/ネットワーク統合実験
    前田洋一; 楯岡孝道; 鈴木貢; 阿部公輝
    電子情報通信学会論文誌DI, J85-D-1巻, 10号, 掲載ページ 985-993, 出版日 2002年10月, 査読付
    研究論文(学術雑誌), 日本語
  • A Bandwidth Measurement Technique for Mobile Computers
    T. Tateoka; Y. Kurita; K. Abe
    Proc. International Workshop on Modern Science and Technology 2002, 掲載ページ 32-36, 出版日 2002年09月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Hardware Organization and Evaluation of Division Algorithms
    Y. Ge; K. Abe
    Proc. International Workshop on Modern Science and Technology 2002, 掲載ページ 124-129, 出版日 2002年09月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • 高基数SRT除算の論理回路実現に基づく回路構成と評価
    葛毅; 阿部公輝; 浜田穂積
    情報処理学会論文誌, 43巻, 8号, 掲載ページ 2665-2673, 出版日 2002年08月, 査読付
    研究論文(学術雑誌), 日本語
  • Hardware Organization of High-Radix SRT Division Based on the Logical Circuit Realization
    Y. Ge; K. Abe; H. Hamada
    Bulletin of the University of Electro-Communications, 電気通信大学, 15巻, 1号, 掲載ページ 29-38, 出版日 2002年07月, 査読付
    英語
  • An integrated laboratory for computer architecture and networking
    Takamichi Tateoka; Mitsugu Suzuki; Kenji Kono; Youichi Maeda; Kôki Abe
    Proceedings of the 2002 Workshop on Computer Architecture Education, WCAE 2002 - Held in conjunction with the 29th International Symposium on Computer Architecture, Association for Computing Machinery, Inc, 掲載ページ 110-117, 出版日 2002年05月26日, 査読付, Processors, compilers, and networks - important materials covered by computer science curricula - are often treated independently in laboratories associated with corresponding lecture courses. An integrated laboratory called CNP for juniors majoring in computer science at the University of Electro-Communications has been developed and is now under way, where a networking protocol stack implemented by students is translated into object codes by a compiler implemented by students, which in turn are executed on a processor implemented also by students. The goals of the integrated laboratory are to deal with modern and attractive materials, to provide students with opportunities of collaborating in constructing a large system, as well as to have students share a feeling of accomplishments among them. Responses from students approved our intention and verified the effectiveness. In this paper, we describe the design and development of baseline components to be integrated, laboratory organizations and schedules, and results and evaluations of the laboratory.
    研究論文(国際会議プロシーディングス), 英語
  • スーパースカラ・プロセッサの設計と評価およびVLSIへの実装
    川口英一郎; 阿部公輝
    電気通信大学紀要, 電気通信大学, 14巻, 1号, 掲載ページ 47-54, 出版日 2001年07月, 査読付
    研究論文(大学,研究機関等紀要), 日本語
  • RISCプロセッサのFPGAへの実装とカスタムLSI化
    前田洋一; 阿部公輝; 森田和夫; 鈴 木貢; 奈良岡雅人; 中川圭介; 渡辺 坦
    電気通信大学紀要, 電気通信大学, 13巻, 1号, 掲載ページ 21-28, 出版日 2000年07月
    研究論文(大学,研究機関等紀要), 日本語
  • ネットワークスイッチのFPGAへの実装とカスタムLSI化
    皆川直久; 阿部公輝; 森田和夫; 鈴木 貢; 奈良岡雅人; 中川圭介; 渡辺 坦
    電気通信大学紀要, 電気通信大学, 13巻, 1号, 掲載ページ 29-35, 出版日 2000年07月
    研究論文(大学,研究機関等紀要), 日本語
  • URRを用いた浮動小数点乗算回路の設計と評価およびVLSIへの実装
    葛 毅; 阿部公輝; 浜田穂積
    情報処理学会論文誌, 41巻, 4号, 掲載ページ 1018-1027, 出版日 2000年04月, 査読付
    研究論文(学術雑誌), 日本語
  • Design Verification of Asynchronous Circuits Using Modular Coloured Petri Nets
    N. Yonebayashi; K. Abe
    Proc. ITC-CSCC'98, 掲載ページ 1691-1694, 出版日 1998年07月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • スーパースカラ・プロセッサの設計と機能シミュレーション
    安藤,阿部
    電気通信大学紀要, 電気通信大学, 10巻, 2号, 掲載ページ 63-69, 出版日 1997年12月
    研究論文(大学,研究機関等紀要), 日本語
  • 32ビットRISCプロセッサMinIPSの設計と実装
    葛毅; 大菅大吉; 鶴田三敏; 阿部公輝
    電気通信大学紀要, 電気通信大学, 10巻, 2号, 掲載ページ 71-78, 出版日 1997年12月
    研究論文(大学,研究機関等紀要), 日本語
  • A Computer Architecture Laboratory
    K. Abe
    Proc. 1993 International Conference on Electronics Higher Education, 掲載ページ 565-566, 出版日 1993年06月
    研究論文(国際会議プロシーディングス), 英語
  • A PROGRAMMABLE LOGIC ARRAY SUITABLE FOR USE IN DIGITAL SYSTEM-DESIGN LABORATORIES
    K ABE; T OMORI; M NARAOKA
    IEEE TRANSACTIONS ON EDUCATION, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 35巻, 4号, 掲載ページ 338-350, 出版日 1992年11月, 査読付, A specially designed programmable logic array (PIA) suitable for use in digital system design laboratories for undergraduates is presented. Rewriting the PIA is done just by transfering the new codes; no explicit erasing process is required. The number of product terms allowed to be implemented on the PLA is unlimited. The computation speed of the PLA is reasonably fast (less than 100 ns). The PLA can communicate with a host computer by accepting a variety of commands for writing PIA codes and monitoring input and output values of the PLA. Using software tools developed for the PIA, the student can effectively perform laboratory experiments at various levels. The PIA is viewed on the color display of a personal computer as AND-OR cascaded matrices, each element of which can be activated or inactivated by means of a user-friendly graphics editor. Another software tool permits programming the PLA in a high-level language. The excitation (next-state) and output functions of a controller circuit are naturally described in a simple syntactic construct. Laboratory experiments utilizing the PIA and student responses are also given.
    研究論文(学術雑誌), 英語
  • A Microcomputer Laboratory—From Fundamentals to Interrupts and Queues
    K. Abe; M. Naraoka; Y. Wakatsuki
    Computer Science Education, 2巻, 1号, 掲載ページ 45-60, 出版日 1991年01月01日, 査読付, A microcomputer laboratory for juniors majoring in computer science was developed. It enables them to observe fundamental behavior of a computer by directly giving instructions to the CPU using a programmable diode array. The laboratory also includes experiments to synthesize a small microcomputer, with which advanced subjects such as interrupt processing and queue data structure usage are studied. As an application, a primitive interrupt-driven graphics editor has been designed and implemented. Various circuit components were mounted on three panels, which facilitate for the students to construct required circuits. A personal computer has been used for software development. © 1991, Taylor &
    Francis Group, LLC. All rights reserved.
    研究論文(学術雑誌), 英語
  • IMPLEMENTATION OF ARITHMETIC ALGORITHMS USING A PLA
    K ABE; J IIJIMA; T NAKASHIMA; Y WAKATSUKI
    IEEE TRANSACTIONS ON EDUCATION, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 32巻, 3号, 掲載ページ 370-375, 出版日 1989年08月, 査読付
    研究論文(学術雑誌), 英語
  • AUTOMATIC-MEASUREMENT OF FREQUENCY-CHARACTERISTICS OF OPERATIONAL-AMPLIFIER CIRCUITS
    K ABE; Y WAKATSUKI; M NARAOKA
    IEEE TRANSACTIONS ON EDUCATION, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 32巻, 3号, 掲載ページ 367-370, 出版日 1989年08月, 査読付
    研究論文(学術雑誌), 英語
  • Remarks on Computation and Error Analysis of Filon Quadrature
    K. Abe
    The Transactions of The Institute of Electronics Information and Communication Engineers, E72巻, 7号, 掲載ページ 813-818, 出版日 1989年07月, 査読付
    研究論文(学術雑誌), 英語
  • Utilization of RAM's as a PLA in Logic Design Laboratories
    K. Abe; T. Omori
    Bulletin of The University of Electro-Communications, 電気通信大学, 2巻, 1号, 掲載ページ 35-45, 出版日 1989年06月
    研究論文(大学,研究機関等紀要), 英語
  • A MICROCOMPUTER IMPLEMENTATION OF PLA FUNCTION AND ITS USE IN A LABORATORY DEALING WITH ARITHMETIC ALGORITHMS
    K ABE; M NARAOKA; Y WAKATSUKI
    IEEE TRANSACTIONS ON EDUCATION, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 32巻, 2号, 掲載ページ 129-138, 出版日 1989年05月, 査読付
    研究論文(学術雑誌), 英語
  • PLAプログラムエディタの作成
    奈良岡; 若月,阿部
    電気通信大学紀要, 1巻, 2号, 掲載ページ 343-346, 出版日 1988年12月
    研究論文(大学,研究機関等紀要), 日本語
  • 学生実験用データ収集システム─トランジスタ回路実験への応用
    若月,中島; 奈良岡; 飯島,阿部
    日本物理教育学会誌, 日本物理教育学会, 36巻, 1号, 掲載ページ 21-24, 出版日 1988年01月, 査読付, 市販のパソコンに簡単に接続できるデータ収集装置を製作した.その応用例として,トランジスタの静特性の測定とグラフの作成を,プログラムで行うようにしたものを紹介する.得られたデータをディスクに記憶させておいて,測定後に電流増幅率等の計算をパソコンで行った.さらに,基本的な増幅器の特性を自動測定により求め,静特性から得られる計算値との比較も行った.これらの実験課題を学生実験に取り入れた結果を報告する.
    研究論文(学術雑誌), 日本語
  • AN EXTENDED SCHEME OF MAGNETIC-BUBBLE ELECTRONIC DICTIONARY
    CZ YUN; SY LEE; MR LIAN; K ABE; FB HUMPHREY
    IEEE TRANSACTIONS ON MAGNETICS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 21巻, 3号, 掲載ページ 1228-1234, 出版日 1985年, 査読付
    研究論文(学術雑誌), 英語
  • MAGNETIC-BUBBLE CHINESE-CHARACTER GENERATOR
    K ABE; MR LIAN; SY LEE; FB HUMPHREY
    IEEE TRANSACTIONS ON MAGNETICS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 18巻, 6号, 掲載ページ 1322-1324, 出版日 1982年, 査読付
    研究論文(学術雑誌), 英語
  • ELECTRONIC DICTIONARIES IMPLEMENTED IN BUBBLE MEMORIES
    K ABE; SY LEE; H CHANG
    IEEE TRANSACTIONS ON MAGNETICS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 17巻, 6号, 掲載ページ 3050-3052, 出版日 1981年, 査読付
    研究論文(学術雑誌), 英語
  • A High-Sensitive Sample-Oscillating Magnetometer
    K. Abe; M. Wada; A. Hasegawa
    Report of The University of Electro-Communications, 31巻, 1号, 掲載ページ 83-87, 出版日 1980年08月
    研究論文(大学,研究機関等紀要), 英語
  • 磁気バブルの運動の計算機シミュレーション
    林,戸辺; 三上,阿部
    電気通信大学学報, 31巻, 1号, 掲載ページ 69-82, 出版日 1980年08月
    研究論文(大学,研究機関等紀要), 日本語
  • Computer Simulation of Bubble Motion
    N. Hayashi; K. Abe
    IEEE Trans. Mag., 13巻, 5号, 掲載ページ 1345-1347, 出版日 1977年09月, 査読付
    研究論文(学術雑誌), 英語
  • An Analysis of the Translational Motion of a Normal Bubble
    N. Hayashi; K. Abe
    Japanese Journal of Applied Physics, 16巻, 5号, 掲載ページ 789-793, 出版日 1977年05月, 査読付
    研究論文(学術雑誌), 英語
  • Magnetocrystalline Anisotropy of Low Temperature Phase of Magnetite
    K. Abe; Y. Miyamoto; S. Chikazumi
    Journal of Physical Society of Japan, 41巻, 6号, 掲載ページ 1894-1902, 出版日 1976年12月, 査読付
    研究論文(学術雑誌), 英語
  • Computer Simulation of Magnetic Bubble Domain Motion
    N. Hayashi; K. Abe
    Japanese Journal of Applied Physics, 15巻, 9号, 掲載ページ 1683-1694, 出版日 1976年09月, 査読付
    研究論文(学術雑誌), 英語
  • Computer Controlled Torque Magnetometor for Automatic Determination of Crystal Orientation
    K. Abe; S. Chikazumi
    Japanese Journal of Applied Physics, 15巻, 4号, 掲載ページ 619-625, 出版日 1976年04月, 査読付
    研究論文(学術雑誌), 英語
  • Computer Simulation of Bubble Motion
    N. Hayashi; K. Abe
    Japanese Journal of Applied Physics, 14巻, 11号, 掲載ページ 1705-1716, 出版日 1975年11月, 査読付
    研究論文(学術雑誌), 英語
  • バブル磁区のコンピュータシミュレーションと動画の作成
    中村,上田; 田辺; 阿部,林
    電気通信大学学報, 26巻, 1号, 掲載ページ 87-91, 出版日 1975年08月
    研究論文(大学,研究機関等紀要), 日本語

MISC

  • 計算機制御の自動トルク計
    阿部,近角
    出版日 1974年07月, 固体物理, 9巻, 7号, 掲載ページ 391-401, 日本語, 記事・総説・解説・論説等(その他)

書籍等出版物

  • アルゴリズム辞典
    島内剛一他
    事典・辞書, 日本語, 共立出版, 出版日 1994年
  • マイクロコンピュータインタフェース
    阿部公輝
    日本語, 単訳, 啓学出版, 出版日 1985年
  • 計算機ハードウェア実験
    林信夫; 中川圭介; 阿部公輝
    日本語, 共著, 近代科学社, 出版日 1980年02月
  • 物理実験データ処理
    日本物理学会
    日本語, 共著, サイエンス社, 出版日 1973年05月

講演・口頭発表等

  • v.Connect:ユーザが声色操作可能な歌声合成器
    小川真; 矢崎俊志; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会研究会報告(音楽情報科学研究会),情報処理学会研究会報告(音楽情報科学研究会)
    発表日 2012年02月
  • FUNaVi-Key: 制御された意外性のある検索システム
    船曳崇也; 矢崎俊志; 阿部公輝
    口頭発表(一般), 日本語, エンタテインメントコンピューティング2011,エンタテインメントコンピューティング2011
    発表日 2011年10月
  • メモリアクセス命令の特徴を利用したセットアソシアティブキャッシュの低電力アクセス手法
    会田康男; 岡部翔; 矢崎俊志; 阿部公輝
    口頭発表(一般), 日本語, 第10回情報科学技術フォーラム FIT2011,第10回情報科学技術フォーラム FIT2011
    発表日 2011年09月
  • コンテンツフィルタリングの自動化手法
    池田匡邦; 矢崎俊志; 阿部公輝
    口頭発表(一般), 日本語, 第10回情報科学技術フォーラム FIT2011,,第10回情報科学技術フォーラム FIT2011,
    発表日 2011年09月
  • Caterpillar GC: 旧世代領域の分割を行うインクリメンタルな世代別実時間ごみ集め
    尾沢崇; 矢崎俊志; 阿部公輝
    口頭発表(一般), 日本語, 第10回情報科学技術フォーラム FIT2011,第10回情報科学技術フォーラム FIT2011
    発表日 2011年09月
  • FPGAによるリングオシレータ型真性乱数生成器の性能向上
    羽田和倫; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会研究会報告(コンピュータセキュリティ研究会)
    発表日 2011年05月
  • FIFO置換方式によるCAMベース世代分割高連想度キャッシュ
    岡部翔; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, 組込み技術とネットワークに関するワークショップ ETNET2011, 組込み技術とネットワークに関するワークショップ ETNET2011, 沖縄(開催中止)
    発表日 2011年03月
  • スクリプト言語向けスタックベース GC と Lua への実装
    小室直; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, プログラミングおよびプログラミング言語ワークショップ PPL2011, プログラミングおよびプログラミング言語ワークショップ PPL2011, 札幌
    発表日 2011年03月
  • スタックベースGCの提案とスクリプト言語Lua における評価
    小室直; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会プログラミング研究発表会,情報処理学会プログラミング研究発表会
    発表日 2010年09月
  • Enhancing TCP Performance by Identifying Wireless Losses
    J. Amalraj; K. Abe
    口頭発表(一般), 英語, IEICE Technical Report (SIG Network Systems)
    発表日 2010年03月
  • マルチコアプロセッサのコアごとのアクセス局所性を利用した共有キャッシュの消費電力削減
    佐藤 公紀; 阿部 公輝
    口頭発表(一般), 日本語, 情報処理学会研究会報告(コンピュータアーキテクチャ研究会)
    発表日 2010年01月
  • H.264/AVCにおける高速高精度動き検出法
    渡辺良亮; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(画像工学研究会)
    発表日 2009年12月
  • アドホックネットワークにおけるATIM Window 開閉制御による省電力手法
    四本哲也; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, マルチメディア、分散、協調とモバイルシンポジウム (DICOMO2009), 別府
    発表日 2009年07月
  • 短寿命オブジェクトを対象とした静的GCの提案
    小室直; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, 情報処理学会第50回プログラミングシンポジウム
    発表日 2009年01月
  • パーセプトロン分岐予測器を用いた予測ミスする分岐命令の効率的分離
    二ノ宮康之; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, 並列/分散/協調処理に関する『佐賀』サマー・ワークショップ(SWoPP佐賀2008)
    発表日 2008年08月
  • 分岐命令の分岐成立・不成立の回数に着目した情報フロー追跡
    古庄尚貴; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, 並列/分散/協調処理に関する『佐賀』サマー・ワークショップ(SWoPP佐賀2008)
    発表日 2008年08月
  • 攻撃履歴を利用したシグネチャ型IDSのDoS耐性の向上
    宮澤僚太; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(情報セキュリティ研究会)
    発表日 2008年07月
  • ふるまいに着目した未知の亜種ウイルスの識別
    三森春佳; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(情報セキュリティ研究会)
    発表日 2008年07月
  • 再構成・拡張可能なプロセッサへのブロック暗号 Camellia の実装
    松尾一慶; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(情報セキュリティ研究会)
    発表日 2008年07月
  • 画像処理の逐次実行を実装例とした動的再構成可能プロセッサの評価
    渡辺良亮; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(信号処理研究会)
    発表日 2008年06月
  • ネットワークのノード集合を分割管理するランダムキー事前分配法
    伊勢かおり; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会研究会報告(コンピュータセキュリティ研究会)
    発表日 2008年03月
  • A Scalable and Efficient Scheme for Privacy-Protected RFID Systems
    L. T; Ngoc Anh; Koki Abe
    口頭発表(一般), 英語, Technical Report of IEICE (SIG Information Network)
    発表日 2008年02月
  • Protocol Specification-based Intrusion Detection System for VoIP
    T. Phit; K. Abe
    口頭発表(一般), 英語, Technical Report of IEICE (SIG Information Network)
    発表日 2008年02月
  • ニューラルネットワークモデルを用いたTCPの輻輳制御
    塩津晃明; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(ネットワークシステム研究会)
    発表日 2008年01月
  • 改ざん検出可能な無歪み画像認証の一手法
    田中智也; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(情報セキュリティ研究会)
    発表日 2007年12月
  • 実行パス履歴を有効に利用する低コスト高精度パーセプトロン分岐予測器
    二ノ宮康之; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, 並列/分散/協調処理に関する『旭川』サマー・ワークショップ(SWoPP旭川2007), 並列/分散/協調処理に関する『旭川』サマー・ワークショップ(SWoPP旭川2007), 旭川
    発表日 2007年08月
  • 暗号回路のFPGA実装における簡易DPA対策
    宋長勲; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(情報セキュリティ研究会),電子情報通信学会技術研究報告(情報セキュリティ研究会)
    発表日 2007年07月
  • 複合ランダムキー事前分配法の耐攻撃性評価
    伊勢かおり; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(アドホックネットワーク研究会),電子情報通信学会技術研究報告(アドホックネットワーク研究会)
    発表日 2007年05月
  • CCDアルゴリズムを用いた葉の形状の特徴抽出
    A. Muhhamad; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(パターン認識・メディア理解研究会),電子情報通信学会技術研究報告(パターン認識・メディア理解研究会)
    発表日 2007年05月
  • Karp-Rabin法を用いたシグネチャ型IDSの性能コスト評価
    小林礼明; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会研究会報告(コンピュータセキュリティ研究会),情報処理学会研究会報告(コンピュータセキュリティ研究会)
    発表日 2007年05月
  • 学習論的アプローチによるTCPの輻輳制御
    塩津晃明; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(ネットワークシステム研究会),電子情報通信学会技術研究報告(ネットワークシステム研究会)
    発表日 2007年04月
  • FPGA による真の乱数の生成
    渡部信吾; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, 2007年暗号と情報セキュリティシンポジウム(SCIS2007)概要集, 佐世保
    発表日 2007年01月
  • 低コストTCP/IPプロトコルスタックの設計実装と性能評価
    塩津晃明; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告(情報ネットワーク研究会),電子情報通信学会技術研究報告(情報ネットワーク研究会)
    発表日 2006年11月
  • 衝突確率の予測に基づく無線ネットワークの消費電力低減
    林文梁; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会研究会報告(無線通信システム研究会),電子情報通信学会研究会報告(無線通信システム研究会)
    発表日 2006年09月
  • 実行パスとローカル履歴を重み選択に利用したパーセプトロン分岐予測器
    二ノ宮康之; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, 並列/分散/協調処理に関する『高知』サマー・ワークショップ(SWoPP高知2006), 並列/分散/協調処理に関する『高知』サマー・ワークショップ(SWoPP高知2006)
    発表日 2006年08月
  • VPNソフトウェアの性能評価
    久保寺祐一; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, 情報処理学会, マルチメディア、分散、協調とモバイルシンポジウム(DICOMO2006), 琴平
    発表日 2006年07月
  • A Rate-based Congestion Control Scheme for Reliable Multicast Achieving TCP Fairness
    L. T. N. Anh; K. Abe
    シンポジウム・ワークショップパネル(公募), 英語, Information Processing Society of Japan, マルチメディア、分散、協調とモバイルシンポジウム(DICOMO2006), Kotohira
    発表日 2006年07月
  • 比較的大きなプログラミング課題のための自動採点システム
    田上恒大; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会研究会報告(コンピュータと教育),情報処理学会研究会報告(コンピュータと教育)
    発表日 2006年02月
  • DESへの差分電力解析攻撃における参照位置とビット数について
    角石洋輔; 佐々木明彦; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会研究会報告(情報セキュリティ研究会),電子情報通信学会研究会報告(情報セキュリティ研究会)
    発表日 2005年11月
  • パーセプトロン分岐予測における冗長入力付加の効果
    澁川誠; 二ノ宮康之; 阿部公輝; 小林聡
    口頭発表(一般), 日本語, 情報処理学会研究会報告(計算機アーキテクチャ研究会),情報処理学会研究会報告(計算機アーキテクチャ研究会)
    発表日 2005年11月
  • A Semi-Fragile Watermarking Scheme Using Weighted Vote with Sieve and Emphasis
    N. Ishihara; K. Abe
    シンポジウム・ワークショップパネル(公募), 英語, コンピュータセキュリティシンポジウム2005(CSS2005), コンピュータセキュリティシンポジウム2005
    発表日 2005年10月
  • 2線式乗算器の構成と性能評価
    田上恒大; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, DA(設計自動化)シンポジウム, DA(設計自動化)シンポジウム2005
    発表日 2005年08月
  • JXTAを用いた情報家電ネットワークにおけるセキュアな動的グループの構成
    林文梁; 阿部公輝
    口頭発表(一般), 電子情報通信学会技術報告(情報ネットワーク研究会)
    発表日 2005年07月
  • 疑似乱数生成器 Mersenne Twister の VLSI 設計
    渡部信吾; 阿部 公輝
    口頭発表(一般), 情報処理学会研究会報告(コンピュータセキュリティ研究会)
    発表日 2005年05月
  • 低消費電力束データ方式非同期回路のコスト評価
    松本陽; 清水雅一; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会2005年総合大会講演論文集
    発表日 2005年03月
  • スケーラブルなマルチキャスト鍵配布方式の検討
    宮田達成; 楯岡孝道; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会2005年総合大会講演論文集
    発表日 2005年03月
  • JXTAネットワークに対する動的ピアグループ操作の実装
    林文梁; 楯岡孝道; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会2005年総合大会講演論文集
    発表日 2005年03月
  • 疑似乱数生成器Mersenne Twister のハードウェア化
    渡部信吾; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会2005年総合大会講演論文集
    発表日 2005年03月
  • FFT多倍長乗算器のVLSI設計
    矢崎俊志; 阿部公輝
    口頭発表(一般), 日本語, 日本応用数理学会研究部会連合発表会
    発表日 2005年03月
  • 暗号回路の耐タンパー性評価手法の構築
    佐々木明彦; 阿部公輝; 大田和夫
    シンポジウム・ワークショップパネル(公募), 日本語, 2005年暗号と情報セキュリティシンポジウム(SCIS2005)
    発表日 2005年01月
  • 高基数SRT除算に基づくスケーラブル剰余乗算回路
    葛毅; ルォン・ディン・フォン; 阿部公輝; 坂井修一
    シンポジウム・ワークショップパネル(公募), 日本語, 2005年暗号と情報セキュリティシンポジウム(SCIS2005)
    発表日 2005年01月
  • 高信頼性 XCAST プロトコルへのキャッシュ導入の検討
    松森純; 楯岡孝道; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, 第6回インターネットテクノロジーワークショップ(WIT2004), 日本ソフトウェア科学会研究会資料, 第6回インターネットテクノロジーワークショップ(WIT2004), 日本ソフトウェア科学会研究会資料
    発表日 2005年01月
  • FFT乗算器の最適化実装
    矢崎俊志; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術報告(VLSI設計技術研究会)
    発表日 2004年12月
  • 束データ方式非同期回路における低消費電力ハンドシェイクプロトコルの性能及びコスト評価
    清水雅一; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術報告(VLSI設計技術研究会)
    発表日 2004年12月
  • 画像認証と改ざん検出可能なセミフラジャイル電子透かしの一手法
    石原希実; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, コンピュータセキュリティシンポジウム2004, コンピュータセキュリティシンポジウム2004
    発表日 2004年10月
  • 柔軟なプライバシ保護を考慮した分散型位置情報システムの提案
    Nor Zehan Binti Ahmad; 楯岡孝道; 阿部公輝; 林信夫
    口頭発表(一般), 日本語, 情報処理学会研究会報告(モバイルコンピューティングとユビキタス通信研究会)
    発表日 2004年09月
  • RSA暗号処理における高基数剰余乗算回路
    葛毅; 櫻井隆雄; 阿部公輝; 坂井修一
    口頭発表(一般), 日本語, 情報処理学会研究報告(コンピュータセキュリティ研究会)
    発表日 2004年07月
  • 機能と性能を取捨選択可能なIPsecハードウェア実装
    山口和哲; 楯岡孝道; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会研究報告(コンピュータセキュリティ研究会)
    発表日 2004年03月
  • シミュレーションによるDES実装のDPA耐性評価
    佐々木明彦; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術報告(情報セキュリティ研究会)
    発表日 2004年03月
  • インターネットプロトコルスタックのハードウェア/ソフトウェア協調設計
    佐藤伸広; ダハナヤカゲ・ディネーシュ; 清水雅一; 楯岡孝道; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会2004年総合大会講演論文集
    発表日 2004年03月
  • 分岐予測機構の予測精度と面積コスト
    澁川誠; 安藤穣; 清水雅一; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会2004年総合大会講演論文集
    発表日 2004年03月
  • 教育用プロセッサMinIPSの設計誤り検出システム
    吉田幸; 村井信彰; 佐藤伸広; 渋川誠; ダハナヤカゲ・ディネーシュ; 清水雅一; 楯岡孝道; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会2004年総合大会講演論文集
    発表日 2004年03月
  • 高速Fourier変換を用いた多倍長乗算器の設計と評価およびVLSIへの実装
    矢崎俊志; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告
    発表日 2003年11月
  • 局所同期型非同期回路におけるローカルタイミング生成回路の低消費電力設計
    清水雅一; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告
    発表日 2003年11月
  • 機能と性能を取捨選択可能なIPsecハードウェア実装の検討
    山口和哲; 楯岡孝道; 阿部公輝
    口頭発表(一般), 日本語, インターネットコンファレンス2003論文集
    発表日 2003年10月
  • ジッタ制御アルゴリズムの性能評価について
    松森純; N. Z. B. Ahmad; 楯岡孝道; 阿部公輝
    シンポジウム・ワークショップパネル(公募), 日本語, マルチメディア,分散,協調とモバイルシンポジウム(DICOMO 2003)
    発表日 2003年06月
  • Hardware Implementation of IP-over-IEEE1394 and Its Evaluation
    M. Y. Hassan; K. Abe
    口頭発表(一般), 英語, Technical Report of IPSJ
    発表日 2003年03月
  • Speeding up the Tile Size Transformation of Wavelet Transform Coefficients in Image Data through Partial Retrieval of Intermediate Coefficients
    S. M. Hanif; K. Abe
    口頭発表(一般), 英語, Technical Report of IEICE
    発表日 2003年03月
  • FPGAにおける非同期式設計
    佐々木明彦; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会第65回全国大会講演予稿集
    発表日 2003年03月
  • 高速Fourier変換を用いた多倍長乗算器の構成法とハードウェア実装法の検討
    矢崎俊志; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会第65回全国大会講演予稿集
    発表日 2003年03月
  • TCP/IPv6プロトコルスタックのFPGA実装と評価
    村田一宣; 楯岡孝道; 阿部公輝
    口頭発表(一般), 日本語, 第10回FPGA/PLD Design Conference論文集
    発表日 2003年01月
  • 多線2相式データ表現を用いた非同期式乗算器
    西野領; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告
    発表日 2003年01月
  • 暗号化アルゴリズムRijndaelのハードウェア実装と評価
    下村高範; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告
    発表日 2003年01月
  • IPv6最小仕様案に関する提案およびFPGA実装と評価
    森年源吾; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会情報家電コンピューティンググループ第4回研究会 研究報告
    発表日 2002年11月
  • 教育用簡易UDP/IPスタックTinyIPの設計と実装
    楯岡孝道; 阿部公輝
    口頭発表(一般), 日本語, インターネットコンファレンス2002論文集
    発表日 2002年10月
  • チームワーク志向の学生実験について
    鈴木貢; 南宣正; 前田洋一; 河野健二; 楯岡孝道; 阿部公輝; 渡邉坦
    シンポジウム・ワークショップパネル(公募), 日本語, 情報処理学会2002年度夏のプログラミングシンポジウム
    発表日 2002年09月
  • 計算機システム統合実験
    鈴木 貢; 河野 健二; 楯岡 孝道; 前田 洋一; 阿部 公輝; 渡邊 坦
    シンポジウム・ワークショップパネル(公募), 日本語, 第4回組み込みシステム技術に関するサマーワークショップ(SWEST4)
    発表日 2002年07月
  • Mobile IP ネットワークにおける外部エージェント選択
    栗田幸宏; 楯岡孝道; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会2002年総合大会講演論文集
    発表日 2002年03月
  • 遠隔操作用TinyTCP/IPv6プロトコルの策定とFPGA実装
    出原裕子; 楯岡孝道; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会2002年総合大会講演論文集
    発表日 2002年03月
  • SOPCボードを使ったコンピュータシステムの設計実装およびネットワーク実験への応用
    前田洋一; 楯岡孝道; 鈴木貢; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会計算機アーキテクチャ研究会報告
    発表日 2002年02月
  • 高基数SRT除算の論理回路実現に基づく回路構成と評価
    葛毅; 阿部公輝; 浜田穂積
    口頭発表(一般), 日本語, 情報処理学会計算機アーキテクチャ研究会報告
    発表日 2002年02月
  • スーパースカラ・プロセッサの設計とカスタムLSIへの実装および評価
    川口英一郎; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会第62回全国大会講演予稿集
    発表日 2001年03月
  • 非同期式プロセッサの設計とカスタムLSIへの実装および評価
    天笠裕也; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会第62回全国大会講演予稿集
    発表日 2001年03月
  • 高基数SRT除算の算術モデルに基づく回路構成と評価
    葛 毅; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会第62回全国大会講演予稿集
    発表日 2001年03月
  • UDP/IPプロトコルのFPGAへの実装と性能評価
    森田和夫; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会第62回全国大会講演予稿集
    発表日 2001年03月
  • URRを用いた浮動小数点乗算回路のVLSIへの実装と評価
    葛毅; 阿部公輝; 浜田穂積
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告
    発表日 2000年01月
  • マイクロプロセッサ記述言語PDLに基づく設計支援システム
    鶴田三敏; 阿部公輝
    口頭発表(一般), 日本語, 情報処理学会設計自動化研究会報告
    発表日 1996年12月
  • 非同期式プロセッサにおけるパイプライン構成の一方法とそれを可能にするマスタースレーブ・レジスタ
    上田典正; 阿部公輝
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告
    発表日 1996年12月
  • 16ビットRISCプロセッサPecoの設計と評価
    鶴田三敏; 阿部公輝
    口頭発表(一般), 日本語, 第3回FPGA/PLD Design Conference and Exhibit
    発表日 1995年07月

所属学協会

  • 情報処理学会
  • IEEE Computer Society
  • 電子情報通信学会
  • 電気学会

産業財産権

  • 学習論的アプローチによるTCPの輻輳制御
    特許権, 特願2007-186566, 出願日: 2007年07月18日, 特開2009-27303, 公開日: 2009年02月05日, 4942040, 発行日: 2012年03月09日
  • 情報処理装置および情報処理方法, 並びにプログラム
    特許権, 石原希実, 阿部公輝, PCT/JP2006/320578, 出願日: 2006年10月16日, 電気通信大学, WO2007/049479, 4834844, 発行日: 2011年10月07日
  • キャッシュメモリおよびその制御法
    特許権, 岡部翔, 阿部公輝, PCT/JP2010/068298, 出願日: 2010年10月19日, 電気通信大学、岡部翔、阿部公輝, WO2011/049051, 公開日: 2011年04月28日
  • 情報処理装置および方法、並びにプログラム
    特許権, 四本哲也, 阿部公輝, 特願2009-152743, 出願日: 2009年06月26日, 電気通信大学, 2011-10121, 公開日: 2011年01月13日
  • キャッシュメモリおよびその制御法
    特許権, 岡部翔, 阿部公輝, 特願2009-241446, 出願日: 2009年10月20日, 国立大学法人電気通信大学
  • 情報処理装置および方法、並びにプログラム
    特許権, 2009-037305, 出願日: 2007年07月
  • 対数演算装置および対数演算方法
    特許権, 田上恒大, 阿部公輝, 2008-287645, 出願日: 2007年05月21日, 電気通信大学
  • 情報処理および方法、プログラム、並びに記録媒体
    特許権, 二ノ宮康之, 阿部公輝, WO2008/012957, 出願日: 2007年02月06日, 電気通信大学
  • 乱数発生器及び乱数発生器の作成方法
    特許権, 渡部信吾, 阿部公輝, 2008-176698, 出願日: 2007年01月22日, 電気通信大学
  • ウェーブレット変換装置
    特許権, 石原希実, 阿部公輝, 2008-079130, 出願日: 2006年09月22日, 電気通信大学
  • 無線通信装置、無線通信方法、及び、無線通信プログラム
    特許権, 林文梁, 阿部公輝, 2008-061037, 出願日: 2006年08月31日, 電気通信大学