菅原 健

情報学専攻准教授
Ⅱ類(融合系)准教授

学位

  • 修士(情報科学), 東北大学大学院情報科学研究科
  • Master of Information Science, Graduate School of Information Sciences at Tohoku University
  • 博士(情報科学), 東北大学大学院情報科学研究科
  • Ph.D., Graduate School of Information Sciences at Tohoku University

研究キーワード

  • 暗号実装
  • センサセキュリティ
  • 組込セキュリティ
  • ハードウェアセキュリティ

研究分野

  • 情報通信, 情報セキュリティ

経歴

  • 2017年03月 - 現在
    電気通信大学, 准教授
  • 2011年04月01日 - 2017年02月28日
    三菱電機株式会社

学歴

  • 2008年04月01日 - 2011年03月25日
    東北大学, 情報科学研究科, 情報基礎科学専攻, 日本国
  • 2006年04月01日 - 2008年03月25日
    東北大学, 情報科学研究科, 情報基礎科学専攻, 日本国
  • 2002年04月01日 - 2006年03月25日
    東北大学, 工学部, 情報工学科, 日本国

委員歴

  • 2024年04月 - 現在
    委員, 電子情報通信学会, 情報通信システムセキュリティ研究専門委員会
  • 2023年 - 現在
    Technical Program Committee, IEEE International Solid-State Circuits Conference (ISSCC 2024, 2025), 学協会
  • 2024年01月 - 2024年06月
    USENIX Security Symposium 2024, Program Committee, USENIX Association, 学協会
  • 2024年01月 - 2024年05月
    Program committee, 18th USENIX WOOT Conference on Offensive Technologies (WOOT 2024)
  • 2023年 - 2024年05月
    Symposium on Security and Privacy (S&P 2024), Program Committee, IEEE, 学協会
  • 2023年04月 - 2024年03月
    情報通信システムセキュリティ研究専門委員会・幹事, 電子情報通信学会, 学協会
  • 2024年02月 - 2024年02月
    Paper award committee, Inaugural Symposium on Vehicle Security and Privacy (VehicleSec 2024), 学協会
  • 2023年 - 2024年02月
    Inaugural Symposium on Vehicle Security and Privacy (VehicleSec 2023), Program Committee, Internet Society (ISOC), 学協会
  • 2023年 - 2024年
    Forum co-organizer, IEEE International Solid-State Circuits Conference (ISSCC 2024), 学協会
  • 2023年 - 2023年12月
    Program Committee, Workshop on Attacks and Solutions in Hardware Security (ASHES 2023), 学協会
  • 2023年 - 2023年05月
    Program committee, IEEE Secure Development Conference (SecDev 2023), 学協会
  • 2023年 - 2023年05月
    Program committee, Workshop on Offensive Technologies (WOOT 2023), 学協会
  • 2021年04月 - 2023年03月
    情報通信システムセキュリティ研究専門委員会・幹事補佐, 電子情報通信学会, 学協会
  • 2021年 - 2023年
    プログラム委員, コンピュータセキュリティシンポジウム (CSS2021, 2022, 2023), 学協会
  • 2020年 - 2023年
    Program committee, Fault Diagnosis and Tolerance in Cryptography (FDTC 2017, 2018, 2020--2024)
  • 2020年 - 2023年
    Program committee, Euromicro Conference on Digital System Design, special session on Architecture and Hardware for Security Applications (AHSA 2020--2023), 学協会
  • 2020年 - 2023年
    Program committee, IACR Transactions on Cryptographic Hardware and Embedded Systems (TCHES 2021, 2022, 2023), 学協会
  • 2021年 - 2021年
    Program committee, International Workshop on Artificial Intelligence and Industrial Internet-of-Things Security (AIOTS 2021), 学協会
  • 2020年 - 2020年
    Program committee, International Workshop on Security (IWSEC 2013, 2014, 2020)
  • 2019年 - 2019年
    Program committee, Cryptographer’s track at RSA Conference (CT-RSA 2019)
  • 2019年 - 2019年
    実行委員, 暗号と情報セキュリティシンポジウム, 学協会
  • 2017年 - 2017年
    Program committee, Asian Hardware Oriented Security and Trust Symposium (AsianHOST)
  • 2015年 - 2016年
    Program committee, Smart Card Research and Advanced Application Conference (CARDIS), 学協会
  • 2013年 - 2014年
    Program committee, International Workshop on Security (IWSEC)

受賞

  • 受賞日 2024年02月
    VehicleSec 2024 : Inaugural ISOC Symposium on Vehicle Security & Privacy
    Demo: CAN Security Hands-On Education Platform
    DENSO Best Demo Award Runner-up, Ayaka Matsushita;Tsuyoshi Toyama;Hisashi Oguma;Takeshi Sugawara
  • 受賞日 2024年02月
    VehicleSec 2024 : Inaugural ISOC Symposium on Vehicle Security & Privacy
    Outstanding Reviewer Award, Takeshi Sugawara
  • 受賞日 2023年12月
    Exploring Leakage Characteristics and Attacks through Profiles of Screaming Channels
    KIISC Best Paper Award, Yuki Matsukawa;Daiki Miyahara;Takeshi Sugawara;Kazuo Sakiyama;Yang Li
  • 受賞日 2023年11月
    情報処理学会 コンピュータセキュリティ研究会
    メモリサイズが最小の置換ベース決定的認証暗号
    CSS奨励賞, 平賀幸仁;内藤祐介;佐々木悠;菅原健
  • 受賞日 2023年02月
    VehicleSec 2023 : Inaugural ISOC Symposium on Vehicle Security & Privacy
    WIP: Infrared Laser Reflection Attack Against Traffic Sign Recognition Systems
    Qualcomm Best Demo Award, Takami Sato;Sri Hrushikesh Varma Bhupathiraju;Michael Clifford;Takeshi Sugawara;Qi Alfred Chen;Sara Rampazzi
  • 受賞日 2023年02月
    VehicleSec 2023 : Inaugural ISOC Symposium on Vehicle Security & Privacy
    WIP: Infrared Laser Reflection Attack Against Traffic Sign Recognition Systems
    ETAS Best Short/WIP Paper Award, Takami Sato;Sri Hrushikesh Varma Bhupathiraju;Michael Clifford;Takeshi Sugawara;Qi Alfred Chen;Sara Rampazzi
  • 受賞日 2022年10月
    電子情報通信学会・情報セキュリティ研究専門委員
    Secret Can Be Public: Low-Memory AEAD Mode for High-Order Masking
    ISEC 研究会活動貢献感謝状, Yusuke Naito;Yu Sasaki;Takeshi Sugawara
  • 受賞日 2021年12月
    Asian Hardware Oriented Security and Trust Symposium (AsianHOST2021)
    Revisiting System Noise in Side-Channel Attacks: Mutual Assistant SCA vs. Genetic Algorithm
    Best Paper Award, R. Kudo;T. Sugawara;K. Sakiyama;Y. Hara-Azumi;Y. Li
  • 受賞日 2021年05月
    電子情報通信学会・情報セキュリティ研究専門委員
    Simple Electromagnetic Analysis Against Activation Functions of Deep Neural Network
    ISEC 研究会活動貢献感謝状, Go Takatoi;Takeshi Sugawara;Kazuo Sakiyama;Yuko Hara-Azumi;Yang Li
  • 受賞日 2020年09月
    電子情報通信学会・情報セキュリティ研究専門委員会
    Light Commands: Laser-Based Audio Injection Attacks on Voice-Controllable Systems
    ISEC 研究会活動貢献感謝状, Takeshi Sugawara;Benjamin Cyr;Sara Rampazzi;Daniel Genkin;Kevin Fu
    国内学会・会議・シンポジウム等の賞
  • 受賞日 2020年07月
    2020 International Conference on Solid State Devices and Materials
    An Information Leakage Sensor Based on Measurement of Laser-Induced Opto-Electric Bulk Current Density
    SSDM Young Researcher Award, K. Matsuda;S. Tada;M. Nagata;Y. Komano;Y. Li;T. Sugawara;M. Iwamoto;K. Ohta;K. Sakiyama;N. Miura
    国際学会・会議・シンポジウム等の賞
  • 受賞日 2019年03月
    サイバーセキュリティシンポジウム道後
    分光スペクトルを用いたLEDの個体識別における電流変化の影響
    学生研究賞, 藤聡子;李陽;崎山一男;菅原健
    国内学会・会議・シンポジウム等の賞
  • 受賞日 2019年03月
    電子情報通信学会
    AES暗号への故障差分攻撃のモデル化と攻撃回数の評価
    学術奨励賞, 羽田野凌太;庄司奈津;李陽;菅原健;崎山一男
    国内学会・会議・シンポジウム等の賞
  • 受賞日 2018年09月
    IPSJ/IEICE
    A Case Study of Row Hammer under Different Refresh Rates
    IWSEC 2018 Best Poster Award, Erina Tatsumi;Kazuo Sakiyama;Takeshi Sugawara
    国際学会・会議・シンポジウム等の賞
  • 受賞日 2018年03月
    サイバーセキュリティシンポジウム道後
    ブロック暗号へのプロービング攻撃における鍵復元効率の正確な評価モデル
    学生研究賞, 庄司奈津;菅原健;岩本貢;崎山一男
    国内学会・会議・シンポジウム等の賞
  • 受賞日 2017年08月
    IPSJ/IEICE
    An Evaluation of Ineffective Fault Analysis on AES using Single-Bit Bit-Set/Reset Faults
    IWSEC 2017 Best Poster Award, Natsu Shoji;Ryuga Matsumura;Takeshi Sugawara;Kazuo Sakiyama
    国際学会・会議・シンポジウム等の賞
  • 受賞日 2016年01月
    電子情報通信学会
    Involution性を備えた共通鍵暗号の設計
    SCIS論文賞, 藤堂洋介;菅原健;村上ユミコ青木和麻呂;松井充
  • 受賞日 2015年06月
    三菱電機株式会社
    ハードウェアセキュリティ技術の向上
    所長表彰, 菅原健
  • 受賞日 2015年06月
    電子情報通信学会・情報通信システムセキュリティ研究専門委員会
    強いリセッシブを用いたCANの電気的データ改ざん
    ICSS研究賞, 菅原健;佐伯稔;三澤学
  • 受賞日 2015年04月
    三菱電機株式会社
    ドーパントを利用した回路カモフラージュのリバースエンジニアリング
    優秀発表賞, 菅原健
  • 受賞日 2015年02月
    米国標準技術研究所
    FIPS140-3とISO/IEC17825のドラフト作成に係る顕著な貢献
    感謝状
  • 受賞日 2015年01月
    電子情報通信学会
    電磁界計測に基づくRSAの内部コリジョン攻撃
    SCIS論文賞, 菅原健;鈴木大輔;佐伯稔
  • 受賞日 2014年04月
    三菱電機株式会社
    電磁界計測に基づくRSAの内部コリジョン攻撃
    優秀発表賞, 菅原健
  • 受賞日 2013年06月
    三菱電機株式会社
    模倣品対策のためのデバイス固有ID生成技術の開発
    開発本部長表彰, 菅原健
  • 受賞日 2011年05月
    情報処理学会
    楕円曲線暗号ハードウェアの電力解析による安全性評価
    東北支部・支部奨励賞, 齋藤和也;菅原健;本間尚文;青木孝文;佐藤証
  • 受賞日 2011年03月
    東北大学大学院情報科学研究科
    研究科長賞, 菅原健
  • 受賞日 2010年07月
    情報処理学会
    ハッシュ関数 Luffa のハードウェア実装
    DICOMO2010シンポジウム・優秀論文賞, 佐藤証;片下敏宏;菅原健;本間尚文;青木孝文
  • 受賞日 2009年10月
    情報処理学会
    重回帰分析を用いたサイドチャネル攻撃の高精度化
    第12回コンピュータセキュリティシンポジウム・優秀論文賞, 金用大;菅原健;林優一;本間尚文;青木孝文;佐藤証
  • 受賞日 2008年07月
    情報処理学会
    シフトレジスタ・アーキテクチャによるハッシュ関数 Whirlpool の高性能回路実装
    DICOMO2008 シンポジウム・優秀プレゼンテーション賞, 菅原健
  • 受賞日 2007年07月
    情報処理学会
    サイドチャネル攻撃標準評価FPGAボードを用いた暗号ハードウェアに対する電力解析実験
    DICOMO2007 シンポジウム・ヤングリサーチャ賞, 菅原健
    国内学会・会議・シンポジウム等の賞
  • 受賞日 2006年05月
    情報処理学会
    漏洩電磁波による共通鍵暗号処理ハードウェアの動作解析
    東北支部・支部奨励賞, 菅原健;本間尚文;青木孝文;佐藤証
    国内学会・会議・シンポジウム等の賞

論文

  • AquaSonic: Acoustic Manipulation of Underwater Data Center Operations and Resource Management
    Jennifer Sheldon; Weidong Zhu; Adnan Abdullah; Sri Hrushikesh Varma Bhupathiraju; Takeshi Sugawara; Kevin Butler; Md Jahidul Islam; Sara Rampazzi
    45th IEEE Symposium on Security and Privacy (S&P2024), 出版日 2024年05月, 査読付
    研究論文(国際会議プロシーディングス)
  • The Exact Multi-User Security of (Tweakable) Key Alternating Ciphers with a Single Permutation
    Yusuke Naito; Yu Sasaki; Takeshi Sugawara
    Eurocrypt, 出版日 2024年05月, 査読付
    研究論文(国際会議プロシーディングス)
  • The Exact Multi-User Security of 2-Key Triple DES
    Yusuke Naito; Yu Sasaki; Takeshi Sugawara
    CT-RSA, 出版日 2024年05月, 査読付
    研究論文(国際会議プロシーディングス)
  • KIVR: Committing Authenticated Encryption Using Plaintext Redundancy and Application to GCM, CCM, and More
    Yusuke Naito; Yu Sasaki; Takeshi Sugawara
    ACNS 2024: 22nd International Conference on Applied Cryptography and Network Security, 出版日 2024年03月, 査読付
    研究論文(国際会議プロシーディングス)
  • Demo: CAN Security Hands-On Education Platform
    Ayaka Matsushita; Tsuyoshi Toyama; Hisashi Oguma; Takeshi Sugawara
    VehicleSec, 出版日 2024年02月, 査読付
    研究論文(国際会議プロシーディングス)
  • Random Spoofing Attack against LiDAR-Based Scan Matching SLAM
    Masashi Fukunaga; Takeshi Sugawara
    VehicleSec, 出版日 2024年02月, 査読付
    研究論文(国際会議プロシーディングス)
  • On the Vulnerability of Traffic Light Recognition Systems to Laser Illumination Attacks
    Sri Hrushikesh Varma Bhupathiraju; Takami Sato; Michael Clifford; Takeshi Sugawara; Qi Alfred Chen; Sara Rampazzi
    VehicleSec, 出版日 2024年02月, 査読付
    研究論文(国際会議プロシーディングス)
  • Invisible Reflections: Leveraging Infrared Laser Reflections to Target Traffic Sign Perception
    Takami Sato; Sri Hrushikesh Varma Bhupathiraju; Michael Clifford; Takeshi Sugawara; Qi Alfred Chen; Sara Rampazzi
    Network and Distributed System Security (NDSS) Symposium, 出版日 2024年02月, 査読付
    研究論文(国際会議プロシーディングス)
  • Exploring Leakage Characteristics and Attacks through Profiles of Screaming Channels
    Yuki Matsukawa, Daiki Miyahara, Takeshi Sugawara, Kazuo Sakiyama, Yang Li
    MobiSec 2023, 出版日 2023年12月, 査読付
    研究論文(国際会議プロシーディングス)
  • Committing Security of Ascon: Cryptanalysis on Primitive and Proof on Mode.
    Yusuke Naito; Yu Sasaki; Takeshi Sugawara
    IACR Transactions on Symmetric Cryptology, 2023巻, 4号, 掲載ページ 420-451, 出版日 2023年12月, 査読付
    研究論文(学術雑誌)
  • Permutation-Based Deterministic Authenticated Encryption with Minimum Memory Size
    Yukihito Hiraga; Yusuke Naito; Yu Sasaki; Takeshi Sugawara
    Information Security Conference 2023, 掲載ページ 351-371, 出版日 2023年11月, 査読付
    研究論文(国際会議プロシーディングス)
  • MMM: Authenticated Encryption with Minimum Secret State for Masking
    Yusuke Naito; Yu Sasaki; Takeshi Sugawara
    IACR Transactions on Cryptographic Hardware and Embedded Systems, 2023巻, 4号, 掲載ページ 80-109, 出版日 2023年09月, 査読付
    研究論文(学術雑誌)
  • Simulation-based evaluation of bit-interaction side-channel leakage on RISC-V: extended version
    Tamon Asano; Takeshi Sugawara
    Journal of Cryptographic Engineering, Springer Science and Business Media LLC, 出版日 2023年06月20日, 査読付, 国際誌
    研究論文(学術雑誌)
  • EMI-LiDAR: Uncovering Vulnerabilities of LiDAR Sensors in Autonomous Driving Setting using Electromagnetic Interference
    Sri Hrushikesh Varma Bhupathiraju; Jennifer Sheldon; Luke A. Bauer; Vincent Bindschaedler; Takeshi Sugawara; Sara Rampazzi
    Proceedings of the 16th ACM Conference on Security and Privacy in Wireless and Mobile Networks, ACM, 掲載ページ 329-340, 出版日 2023年05月29日, 査読付, 国際誌, 国際共著論文
    研究論文(国際会議プロシーディングス)
  • Position Paper: Space System Threat Models Must Account for Satellite Sensor Spoofing
    Benjamin Cyr; Yan Long; Takeshi Sugawara; Kevin Fu
    SpaceSec23: Workshop on the Security of Space and Satellite Systems, 出版日 2023年02月, 査読付
    研究論文(国際会議プロシーディングス)
  • You Can't See Me: Physical Removal Attacks on LiDAR-based Autonomous Vehicles Driving Frameworks.
    Yulong Cao; S. Hrushikesh Bhupathiraju; Pirouz Naghavi; Takeshi Sugawara 0001; Z. Morley Mao; Sara Rampazzi
    USENIX Security Symposium, 掲載ページ 2993-3010, 出版日 2023年, 査読付
    研究論文(国際会議プロシーディングス)
  • WIP: Infrared Laser Reflection Attack Against Traffic Sign Recognition Systems
    Takami Sato; Sri Hrushikesh Varma Bhupathiraju; Michael Clifford; Takeshi Sugawara; Qi Alfred Chen; Sara Rampazzi
    Proceedings Inaugural International Symposium on Vehicle Security & Privacy, Internet Society, 出版日 2023年
    研究論文(国際会議プロシーディングス)
  • Exploring Effect of Residual Electric Charges on Cryptographic Circuits : Extended Version
    Mitsuru SHIOZAKI; Takeshi SUGAWARA; Takeshi FUJINO
    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Institute of Electronics, Information and Communications Engineers (IEICE), 106巻, 3号, 掲載ページ 281-293, 出版日 2023年01月, 査読付
    研究論文(学術雑誌)
  • Poster: Inaudible Acoustic Noise from Silicon Capacitors for Voice-Command Injection
    Kohei Doi; Takeshi Sugawara
    Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security, ACM, 掲載ページ 3339-3341, 出版日 2022年11月07日, 査読付
    研究論文(国際会議プロシーディングス)
  • The Multi-User Security of Triple Encryption, Revisited
    Yusuke Naito; Yu Sasaki; Takeshi Sugawara; Kan Yasuda
    Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security, ACM, 掲載ページ 2323-2336, 出版日 2022年11月07日, 査読付
    研究論文(国際会議プロシーディングス)
  • Laser-Based Signal-Injection Attack on Piezoresistive MEMS Pressure Sensors
    Tatsuki Tanaka; Takeshi Sugawara
    2022 IEEE Sensors, IEEE, 掲載ページ 1-4, 出版日 2022年10月30日, 査読付
    研究論文(国際会議プロシーディングス)
  • Secret Can Be Public: Low-Memory AEAD Mode for High-Order Masking
    Yusuke Naito; Yu Sasaki; Takeshi Sugawara
    Advances in Cryptology – CRYPTO 2022, Springer Nature Switzerland, 2022巻, 掲載ページ 315-345, 出版日 2022年10月12日, 査読付
    論文集(書籍)内論文
  • Redshift: Manipulating Signal Propagation Delay via Continuous-Wave Lasers
    Kohei Yamashita; Benjamin Cyr; Kevin Fu; Wayne Burleson; Takeshi Sugawara
    IACR Transactions on Cryptographic Hardware and Embedded Systems, Universitatsbibliothek der Ruhr-Universitat Bochum, 2022巻, 4号, 掲載ページ 463-489, 出版日 2022年08月31日, 査読付, We propose a new laser injection attack Redshift that manipulates signal propagation delay, allowing for precise control of oscillator frequencies and other behaviors in delay-sensitive circuits. The target circuits have a significant sensitivity to light, and a low-power continuous-wave laser, similar to a laser pointer, is sufficient for the attack. This is in contrast to previous fault injection attacks that use highpowered laser pulses to flip digital bits. This significantly reduces the cost of the attack and extends the range of possible attackers. Moreover, the attack potentially evades sensor-based countermeasures configured for conventional pulse lasers. To demonstrate Redshift, we target ring-oscillator and arbiter PUFs that are used in cryptographic applications. By precisely controlling signal propagation delays within these circuits, an attacker can control the output of a PUF to perform a state-recovery attack and reveal a secret key. We finally discuss the physical causality of the attack and potential countermeasures.
    研究論文(学術雑誌)
  • The Limits of SEMA on Distinguishing Similar Activation Functions of Embedded Deep Neural Networks
    Go Takatoi; Takeshi Sugawara; Kazuo Sakiyama; Yuko Hara-Azumi; Yang Li
    Applied Sciences, MDPI AG, 12巻, 9号, 掲載ページ 4135-4135, 出版日 2022年04月20日, 査読付, Artificial intelligence (AI) is progressing rapidly, and in this trend, edge AI has been researched intensively. However, much less work has been performed around the security of edge AI. Machine learning models are a mass of intellectual property, and an optimized network is very valuable. Trained machine learning models need to be black boxes as well because they may give away information about the training data to the outside world. As selecting the appropriate activation functions to enable fast training of accurate deep neural networks is an active area of research, it is important to conceal the information of the activation functions used in a neural network architecture as well. There has been research on the use of physical attacks such as the side-channel attack (SCA) in areas other than cryptography. The SCA is highly effective against edge artificial intelligence due to its property of the device computing close to the user. We studied a previously proposed method to retrieve the activation functions of a black box neural network implemented on an edge device by using simple electromagnetic analysis (SEMA) and improved the signal processing procedure for further noisy measurements. The SEMA attack identifies activation functions by directly observing distinctive electromagnetic (EM) traces that correspond to the operations in the activation function. This method requires few executions and inputs and also has little implementation dependency on the activation functions. We distinguished eight similar activation functions with EM measurements and examined the versatility and limits of this attack. In this work, the machine learning architecture is a multilayer perceptron, evaluated on an Arduino Uno.
    研究論文(学術雑誌)
  • Mixture-Based 5-Round Physical Attack against AES: Attack Proposal and Noise Evaluation
    Go TAKAMI; Takeshi SUGAWARA; Kazuo SAKIYAMA; Yang LI
    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Institute of Electronics, Information and Communications Engineers (IEICE), E105.A巻, 3号, 掲載ページ 289-299, 出版日 2022年03月01日, 査読付
    研究論文(学術雑誌)
  • You Can't See Me: Physical Removal Attacks on LiDAR-based Autonomous Vehicles Driving Frameworks.
    Yulong Cao; S. Hrushikesh Bhupathiraju; Pirouz Naghavi; Takeshi Sugawara 0001; Z. Morley Mao; Sara Rampazzi
    CoRR, abs/2210.09482巻, 出版日 2022年
    研究論文(学術雑誌)
  • Revisiting System Noise in Side-Channel Attacks: Mutual Assistant SCA vs. Genetic Algorithm
    Rei Kudo; Takeshi Sugawara; Kazuo Sakiyama; Yuko Hara-Azumi; Yang Li
    2021 Asian Hardware Oriented Security and Trust Symposium (AsianHOST), IEEE, 掲載ページ 1-6, 出版日 2021年12月16日, 査読付
    研究論文(国際会議プロシーディングス)
  • Double-Block-Length Hash Function for Minimum Memory Size
    Yusuke Naito; Yu Sasaki; Takeshi Sugawara
    Advances in Cryptology – ASIACRYPT 2021, Springer International Publishing, 掲載ページ 376-406, 出版日 2021年12月01日, 査読付
    論文集(書籍)内論文
  • Why Lasers Inject Perceived Sound Into MEMS Microphones: Indications and Contraindications of Photoacoustic and Photoelectric Effects
    Benjamin Cyr; Takeshi Sugawara; Kevin Fu
    2021 IEEE Sensors, IEEE, 掲載ページ 1-4, 出版日 2021年10月31日, 査読付
    研究論文(国際会議プロシーディングス)
  • Simulation Based Evaluation of Bit-Interaction Side-Channel Leakage on RISC-V Processor
    Tamon Asano; Takeshi Sugawara
    The 10th International Workshop on Security Proofs for Embedded Systems (PROOFS), EasyChair, 出版日 2021年09月, 査読付, Masking is a promising countermeasure against side-channel attack, and share slic- ing is its efficient software implementation that stores all the shares in a single register to exploit the parallelism of Boolean instructions. However, the security of share slicing relies on the assumption of bit-independent leakage from those instructions. Gao et al. recently discovered a violation causing a security degradation, called the bit-interaction leakage, by experimentally evaluating ARM processors. However, its causality remained open because of the blackbox inside the target processors. In this paper, we approach this problem with simulation-based side-channel leakage evaluation using a RISC-V processor. More specifically, we use Western Digital’s open-source SweRV EH1 core as a target plat- form and measure its side-channel traces by running logic simulation and counting the number of signal transitions in the synthesized ALU netlist. We successfully replicate the bit-interaction leakage from a shifter using the simulated traces. By exploiting the flexi- bility of simulation-based analysis, we positively verify Gao et al.’s hypothesis on how the shifter causes the leakage. Moreover, we discover a new bit-interaction leakage from an arithmetic adder caused by carry propagation. Finally, we discuss hardware and software countermeasures against the bit-interaction leakage.
    研究論文(国際会議プロシーディングス)
  • AES-LBBB: AES Mode for Lightweight and BBB-Secure Authenticated Encryption
    Yusuke Naito; Yu Sasaki; Takeshi Sugawara
    IACR Transactions on Cryptographic Hardware and Embedded Systems, Universitatsbibliothek der Ruhr-Universitat Bochum, 2021巻, 3号, 掲載ページ 298-333, 出版日 2021年07月09日, 査読付, In this paper, a new lightweight authenticated encryption scheme AESLBBB is proposed, which was designed to provide backward compatibility with advanced encryption standard (AES) as well as high security and low memory. The primary design goal, backward compatibility, is motivated by the fact that AES accelerators are now very common for devices in the field; we are interested in designing an efficient and highly secure mode of operation that exploits the best of those AES accelerators. The backward compatibility receives little attention in the NIST lightweight cryptography standardization process, in which only 3 out of 32 round-2 candidates are based on AES. Our mode, LBBB, is inspired by the design of ALE in the sense that the internal state size is a minimum 2n bits when using a block cipher of length n bits for the key and data. Unfortunately, there is no security proof of ALE, and forgery attacks have been found on ALE. In LBBB, we introduce an additional feed from block cipher’s output to the key state via a certain permutation λ, which enables us to prove beyond-birthday-bound (BBB) security. We then specify its AES instance, AES-LBBB, and evaluate its performance for (i) software implementation on a microcontroller with an AES coprocessor and (ii) hardware implementation for an application-specific integrated circuit (ASIC) to show that AES-LBBB performs better than the current state-of-the-art Remus-N2 with AES-128.
    研究論文(学術雑誌)
  • Protecting COVID-19 Vaccine Transportation and Storage from Analog Cybersecurity Threats
    Yan Long; Sara Rampazzi; Takeshi Sugawara; Kevin Fu
    Biomedical Instrumentation & Technology, Association for the Advancement of Medical Instrumentation (AAMI), 55巻, 3号, 掲載ページ 112-117, 出版日 2021年07月01日, 査読付
    研究論文(学術雑誌)
  • Application of Adversarial Examples to Physical ECG Signals.
    Taiga Ono; Takeshi Sugawara 0001; Jun Sakuma; Tatsuya Mori
    CoRR, abs/2108.08972巻, 出版日 2021年
    研究論文(学術雑誌)
  • 分光スペクトルを用いた調光機能のある白色 LED の個体識別
    藤聡子; 土屋彩夏; 李陽; 崎山一男; 菅原健
    情報処理学会論文誌, IET, 62巻, 9号, 掲載ページ 1-11, 出版日 2021年, 査読付
    研究論文(学術雑誌), 日本語
  • LM-DAE: Low-Memory Deterministic Authenticated Encryption for 128-bit Security
    Yusuke Naito; Yu Sasaki; Takeshi Sugawara
    IACR Transactions on Symmetric Cryptology, Universitatsbibliothek der Ruhr-Universitat Bochum, 2020巻, 4号, 掲載ページ 1-38, 出版日 2020年12月10日, 査読付, This paper proposes a new lightweight deterministic authenticated encryption (DAE) scheme providing 128-bit security. Lightweight DAE schemes are practically important because resource-restricted devices sometimes cannot afford to manage a nonce properly. For this purpose, we first design a new mode LM-DAE that has a minimal state size and uses a tweakable block cipher (TBC). The design can be implemented with low memory and is advantageous in threshold implementations (TI) as a side-channel attack countermeasure. LM-DAE further reduces the implementation cost by eliminating the inverse tweak schedule needed in the previous TBC-based DAE modes. LM-DAE is proven to be indistinguishable from an ideal DAE up to the O(2n) query complexity for the block size n. To achieve 128-bit security, an underlying TBC must handle a 128-bit block, 128-bit key, and 128+4-bit tweak, where the 4-bit tweak comes from the domain separation. To satisfy this requirement, we extend SKINNY-128-256 with an additional 4-bit tweak, by applying the elastic-tweak proposed by Chakraborti et al. We evaluate the hardware performances of the proposed scheme with and without TI. Our LM-DAE implementation achieves 3,717 gates, roughly 15% fewer than state-of-the-art nonce-based schemes, thanks to removing the inverse tweak schedule.
    研究論文(学術雑誌)
  • Simple Electromagnetic Analysis Against Activation Functions of Deep Neural Networks
    Go Takatoi; Takeshi Sugawara; Kazuo Sakiyama; Yang Li
    Lecture Notes in Computer Science, Springer International Publishing, 掲載ページ 181-197, 出版日 2020年10月14日, 査読付
    論文集(書籍)内論文
  • Low-Memory Implementation of Authenticated Encryption Algorithm SAEAES on ARM Cortex-M0 Microcontroller
    Marika Yabu; Kazuo Sakiyama; Takeshi Sugawara
    2020 IEEE 9th Global Conference on Consumer Electronics (GCCE), IEEE, 掲載ページ 181-185, 出版日 2020年10月13日, 査読付
    研究論文(国際会議プロシーディングス)
  • An Optimized Implementation of AES-GCM for FPGA Acceleration Using High-Level Synthesis
    Tsubasa Takaki; Yang Li; Kazuo Sakiyama; Shoei Nashimoto; Daisuke Suzuki; Takeshi Sugawara
    2020 IEEE 9th Global Conference on Consumer Electronics (GCCE), IEEE, 掲載ページ 176-180, 出版日 2020年10月13日, 査読付
    研究論文(国際会議プロシーディングス)
  • (Short Paper) Signal Injection Attack on Time-to-Digital Converter and Its Application to Physically Unclonable Function
    Takeshi Sugawara; Tatsuya Onuma; Yang Li
    Advances in Information and Computer Security (IWSEC2020), Springer International Publishing, 掲載ページ 117-127, 出版日 2020年08月26日, 査読付
    論文集(書籍)内論文
  • Light Commands: Laser-Based Audio Injection Attacks on Voice-Controllable Systems
    Takeshi Sugawara
    Proceedings of the USENIX Security Symposium, USENIX Association, abs/2006.11946巻, 掲載ページ 2631-2648, 出版日 2020年08月12日, 査読付
    研究論文(学術雑誌), 英語
  • Hardware Performance Evaluation of Authenticated Encryption SAEAES with Threshold Implementation
    Takeshi Sugawara
    Cryptography, MDPI AG, 4巻, 3号, 掲載ページ 23-23, 出版日 2020年08月09日, 査読付, SAEAES is the authenticated encryption algorithm instantiated by combining the SAEB mode of operation with AES, and a candidate of the NIST’s lightweight cryptography competition. Using AES gives the advantage of backward compatibility with the existing accelerators and coprocessors that the industry has invested in so far. Still, the newer lightweight block cipher (e.g., GIFT) outperforms AES in compact implementation, especially with the side-channel attack countermeasure such as threshold implementation. This paper aims to implement the first threshold implementation of SAEAES and evaluate the cost we are trading with the backward compatibility. We design a new circuit architecture using the column-oriented serialization based on the recent 3-share and uniform threshold implementation (TI) of the AES S-box based on the generalized changing of the guards. Our design uses 18,288 GE with AES’s occupation reaching 97% of the total area. Meanwhile, the circuit area is roughly three times the conventional SAEB-GIFT implementation (6229 GE) because of a large memory size needed for the AES’s non-linear key schedule and the extended states for satisfying uniformity in TI.
    研究論文(学術雑誌)
  • Lightweight Authenticated Encryption Mode Suitable for Threshold Implementation
    Yusuke Naito; Yu Sasaki; Takeshi Sugawara
    Advances in Cryptology – EUROCRYPT 2020, Springer International Publishing, 2020巻, 掲載ページ 705-735, 出版日 2020年05月01日, 査読付
    論文集(書籍)内論文
  • Side-Channel Leakage of Alarm Signal for a Bulk-Current-Based Laser Sensor
    Yang Li; Ryota Hatano; Sho Tada; Kohei Matsuda; Noriyuki Miura; Takeshi Sugawara; Kazuo Sakiyama
    Information Security and Cryptology, Springer International Publishing, 掲載ページ 346-361, 出版日 2020年03月13日, 査読付
    論文集(書籍)内論文
  • An IC-level countermeasure against laser fault injection attack by information leakage sensing based on laser-induced opto-electric bulk current density
    Kohei Matsuda; Sho Tada; Makoto Nagata; Yuichi Komano; Yang Li; Takeshi Sugawara; Mitsugu Iwamoto; Kazuo Ohta; Kazuo Sakiyama; Noriyuki Miura
    Japanese Journal of Applied Physics, IOP Publishing, 59巻, SG号, 掲載ページ SGGL02-SGGL02, 出版日 2020年02月28日, 査読付, Abstract

    Laser fault injection (LFI) attacks on cryptographic processor ICs are a critical threat to information systems. This paper proposes an IC-level integrated countermeasure employing an information leakage sensor against an LFI attack. Distributed bulk current sensors monitor abnormal bulk current density caused by laser irradiation for LFI. Time-interleaved sensor operation and sensitivity tuning can obtain partial secret key leakage bit information with small layout area penalty. Based on the leakage information, the secret key can be securely updated to realize high-availability resilient systems. The test chip was designed and fabricated in a 0.18 μm standard CMOS, integrating a 128-bit advanced encryption standard cryptographic processor with the proposed information leakage sensor. This evaluation successfully demonstrated bulk current density and leakage bit monitoring.
    研究論文(学術雑誌)
  • Light Commands: Laser-Based Audio Injection Attacks on Voice-Controllable Systems.
    Takeshi Sugawara 0001; Benjamin Cyr; Sara Rampazzi; Daniel Genkin; Kevin Fu
    CoRR, abs/2006.11946巻, 出版日 2020年
    研究論文(学術雑誌)
  • Signal Injection Attack on Time-to-Digital Converter and Its Application to Physically Unclonable Function.
    Takeshi Sugawara 0001; Tatsuya Onuma; Yang Li 0001
    IACR Cryptology ePrint Archive, 2020巻, 掲載ページ 716-716, 出版日 2020年
    研究論文(学術雑誌)
  • Lightweight Authenticated Encryption Mode of Operation for Tweakable Block Ciphers
    Yusuke Naito; Takeshi Sugawara
    IACR Transactions on Cryptographic Hardware and Embedded Systems, Universitatsbibliothek der Ruhr-Universitat Bochum, 2020巻, 1号, 掲載ページ 66-94, 出版日 2019年11月19日, 査読付, The use of a small block length is a common strategy when designing lightweight (tweakable) block ciphers (TBCs), and several 64-bit primitives have been proposed. However, when such a 64-bit primitive is used for an authenticated encryption with birthday-bound security, it has only 32-bit data complexity, which is subject to practical attacks. To employ a short block length without compromising security, we propose PFB, a lightweight TBC-based authenticated encryption with associated data mode, which achieves beyond-birthday-bound security. For this purpose, we extend iCOFB, which is originally defined with a tweakable random function. Unlike iCOFB, the proposed method can be instantiated with a TBC using a fixed tweak length and can handle variable-length data. Moreover, its security bound is improved and independent of the data length; this improves the key lifetime, particularly in lightweight blocks with a small size. The proposed method also covers a broader class of feedback functions because of the generalization presented in our proof. We evaluate the concrete hardware performances of PFB, which benefits from the small block length and shows particularly good performances in threshold implementation.
    研究論文(学術雑誌), 英語
  • Fingerprinting light emitting diodes using spectrometer
    A. Toh; L. Yang; K. Sakiyama; T. Sugawara
    Electronics Letters, Institution of Engineering and Technology (IET), 55巻, 24号, 掲載ページ 1295-1297, 出版日 2019年11月, 査読付
    研究論文(学術雑誌)
  • Side-channel leakage from sensor-based countermeasures against fault injection attack
    Takeshi Sugawara; Natsu Shoji; Kazuo Sakiyama; Kohei Matsuda; Noriyuki Miura; Makoto Nagata
    Microelectronics Journal, Elsevier BV, 90巻, 掲載ページ 63-71, 出版日 2019年08月, 査読付
    研究論文(学術雑誌)
  • Probing attack of share‐serial threshold implementation of advanced encryption standard
    T. Sugawara; Y. Li; K. Sakiyama
    Electronics Letters, Institution of Engineering and Technology (IET), 55巻, 9号, 掲載ページ 517-519, 出版日 2019年05月, 査読付
    研究論文(学術雑誌)
  • Oscillator without a combinatorial loop and its threat to FPGA in data centre
    T. Sugawara; K. Sakiyama; S. Nashimoto; D. Suzuki; T. Nagatsuka
    Electronics Letters, Institution of Engineering and Technology (IET), 55巻, 11号, 掲載ページ 640-642, 出版日 2019年05月, 査読付
    研究論文(学術雑誌)
  • An Abstraction Model for 1-bit Probing Attack on Block Ciphers
    Natsu Shoji; Takeshi Sugawara; Mitsugu Iwamoto; Kazuo Sakiyama
    2019 IEEE 4th International Conference on Computer and Communication Systems (ICCCS), IEEE, 掲載ページ 502-506, 出版日 2019年02月, 査読付
    研究論文(国際会議プロシーディングス)
  • SAEB: A Lightweight Blockcipher-Based AEAD Mode of Operation.
    Yusuke Naito 0001; Mitsuru Matsui; Takeshi Sugawara 0001; Daisuke Suzuki
    IACR Cryptology ePrint Archive, 2019巻, 掲載ページ 700-700, 出版日 2019年
    研究論文(学術雑誌)
  • Lightweight Authenticated Encryption Mode of Operation for Tweakable Block Ciphers
    Yusuke Naito; Takeshi Sugawara
    IACR Cryptology ePrint Archive, 2019巻, 掲載ページ 339-339, 出版日 2019年
    研究論文(学術雑誌)
  • 3-Share Threshold Implementation of AES S-box without Fresh Randomness
    Takeshi Sugawara
    IACR Trans. Cryptogr. Hardw. Embed. Syst., The Ruhr-Universität Bochum, 2019巻, 1号, 掲載ページ 123-145, 出版日 2019年, 査読付, Threshold implementation is studied as a countermeasure against sidechannel attack. There had been no threshold implementation for the AES and Keccak S-boxes that satisfies an important property called uniformity. In the conventional implementations, intermediate values are remasked to compensate for the lack of uniformity. The remasking consumes thousands of fresh random bits and its implementation cost is a serious concern. Daemen recently proposed a 3-share uniform threshold implementation of the Keccak S-box. This is enabled by a new technique called the changing of the guards which can be applied to any invertible functions. Subsequently, Wegener et al. proposed a 4-share threshold implementation of the AES S-box based on the changing of the guards technique. However, a 3-share threshold implementation of AES S-box remains open. The difficulty stays in 2-input multiplication, used in decomposed S-box representations, which is non-invertible because of different input and output sizes. In this study, this problem is addressed by introducing a certain generalization of the changing of the guards technique. The proposed method provides a generic way to construct a uniform sharing for a target function having different input and output sizes. The key idea is to transform a target function into an invertible one by adding additional inputs and outputs. Based on the proposed technique, the first 3-share threshold implementation of AES S-box without fresh randomness is presented. Performance evaluation and simulation-based leakage assessment of the implementation are also presented.
    研究論文(学術雑誌), 英語
  • A Secure LiDAR with AES-Based Side-Channel Fingerprinting
    Ryuga Matsumura; Takeshi Sugawara; Kazuo Sakiyama
    2018 Sixth International Symposium on Computing and Networking Workshops (CANDARW), IEEE, 掲載ページ 479-482, 出版日 2018年11月, 査読付
    研究論文(国際会議プロシーディングス)
  • A 286 F2/Cell Distributed Bulk-Current Sensor and Secure Flush Code Eraser Against Laser Fault Injection Attack on Cryptographic Processor
    Kohei Matsuda; Tatsuya Fujii; Natsu Shoji; Takeshi Sugawara; Kazuo Sakiyama; Yu-Ichi Hayashi; Makoto Nagata; Noriyuki Miura
    IEEE Journal of Solid-State Circuits, Institute of Electrical and Electronics Engineers (IEEE), 53巻, 11号, 掲載ページ 3174-3182, 出版日 2018年11月, 査読付
    研究論文(学術雑誌)
  • Recovering Memory Access Sequence with Differential Flush+Reload Attack
    Zhiwei Yuan; Yang Li; Kazuo Sakiyama; Takeshi Sugawara; Jian Wang
    Information Security Practice and Experience, Springer International Publishing, 掲載ページ 424-439, 出版日 2018年09月06日, 査読付
    論文集(書籍)内論文
  • Efficient Software Implementation of Modular Multiplication in Prime Fields on TI’s DSP TMS320C6678
    Eito Miyamoto; Takeshi Sugawara; Kazuo Sakiyama
    Information Security Applications, Springer International Publishing, 掲載ページ 261-273, 出版日 2018年06月23日, 査読付
    論文集(書籍)内論文
  • Sensor CON-Fusion: Defeating Kalman Filter in Signal Injection Attack
    Shoei Nashimoto; Daisuke Suzuki; Takeshi Sugawara; Kazuo Sakiyama
    Proceedings of the 2018 on Asia Conference on Computer and Communications Security, ACM, 掲載ページ 511-524, 出版日 2018年05月29日, 査読付
    研究論文(国際会議プロシーディングス)
  • SAEB: A Lightweight Blockcipher-Based AEAD Mode of Operation
    Yusuke Naito; Mitsuru Matsui; Takeshi Sugawara; Daisuke Suzuki
    IACR Trans. Cryptogr. Hardw. Embed. Syst., The Ruhr-Universität Bochum, 2018巻, 2号, 掲載ページ 192-217, 出版日 2018年05月08日, 査読付, Lightweight cryptography in computationally constrained devices is actively studied. In contrast to advances of lightweight blockcipher in the last decade, lightweight mode of operation is seemingly not so mature, yet it has large impact in performance. Therefore, there is a great demand for lightweight mode of operation, especially that for authenticated encryption with associated data (AEAD). Among many known properties of conventional modes of operation, the following four properties are essential for constrained devices:

    1. Minimum State Size: the state size equals to a block size of a blockcipher.
    2. Inverse Free: no need for a blockcipher decryption.
    3. XOR Only: only XOR is needed in addition to a blockcipher encryption.
    4. Online: a data block is processed only once.

    The properties 1 and 4 contribute to small memory usage, and the properties 2 and 3 contribute to small program/circuit footprint. On top of the above properties, the fifth property regarding associated data (AD) is also important for performance:

    5. Efficient Handling of Static AD: static AD can be precomputed.

    We design a lightweight blockcipher-based AEAD mode of operation called SAEB: the first mode of operation that satisfies all the five properties to the best of our knowledge. Performance of SAEB is evaluated in various software and hardware platforms. The evaluation results show that SAEB outperforms conventional blockcipher-based AEAD modes of operation in various performance metrics for lightweight cryptography.
    研究論文(学術雑誌), 英語
  • A 286F2/cell distributed bulk-current sensor and secure flush code eraser against laser fault injection attack
    Kohei Matsuda; Tatsuya Fujii; Natsu Shoji; Takeshi Sugawara; Kazuo Sakiyama; Yu-ichi Hayashi; Makoto Nagata; Noriyuki Miura
    2018 IEEE International Solid - State Circuits Conference - (ISSCC), IEEE, 掲載ページ 352-354, 出版日 2018年02月, 査読付
    研究論文(国際会議プロシーディングス)
  • Q-Class Authentication System for Double Arbiter PUF
    Risa YASHIRO; Takeshi SUGAWARA; Mitsugu IWAMOTO; Kazuo SAKIYAMA
    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Institute of Electronics, Information and Communications Engineers (IEICE), E101.A巻, 1号, 掲載ページ 129-137, 出版日 2018年, 査読付
    研究論文(学術雑誌)
  • Output Masking of Tweakable Even-Mansour Can Be Eliminated for Message Authentication Code
    Shoichi Hirose; Yusuke Naito; Takeshi Sugawara
    Lecture Notes in Computer Science, Springer International Publishing, 掲載ページ 341-359, 出版日 2017年10月20日, 査読付
    論文集(書籍)内論文
  • Exploiting Bitflip Detector for Non-invasive Probing and its Application to Ineffective Fault Analysis
    Takeshi Sugawara; Natsu Shoji; Kazuo Sakiyama; Kohei Matsuda; Noriyuki Miura; Makoto Nagata
    2017 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC), IEEE, 掲載ページ 49-56, 出版日 2017年09月, 査読付
    研究論文(国際会議プロシーディングス)
  • Asymmetric Leakage from Multiplier and Collision-Based Single-Shot Side-Channel Attack
    Takeshi SUGAWARA; Daisuke SUZUKI; Minoru SAEKI
    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Institute of Electronics, Information and Communications Engineers (IEICE), E99.A巻, 7号, 掲載ページ 1323-1333, 出版日 2016年, 査読付
    研究論文(学術雑誌)
  • PUF as a sensor
    Koichi Shimizu; Takeshi Sugawara; Daisuke Suzuki
    2015 IEEE 4th Global Conference on Consumer Electronics (GCCE), IEEE, 掲載ページ 88-92, 出版日 2015年10月, 査読付
    研究論文(国際会議プロシーディングス)
  • Two Operands of Multipliers in Side-Channel Attack
    Takeshi Sugawara; Daisuke Suzuki; Minoru Saeki
    Constructive Side-Channel Analysis and Secure Design, Springer International Publishing, 2015巻, 掲載ページ 64-78, 出版日 2015年07月17日, 査読付
    論文集(書籍)内論文
  • Reversing stealthy dopant-level circuits
    Takeshi Sugawara; Daisuke Suzuki; Ryoichi Fujii; Shigeaki Tawa; Ryohei Hori; Mitsuru Shiozaki; Takeshi Fujino
    Journal of Cryptographic Engineering, Springer Science and Business Media LLC, 5巻, 2号, 掲載ページ 85-94, 出版日 2015年05月14日, 査読付, 招待
    研究論文(学術雑誌)
  • Reversing Stealthy Dopant-Level Circuits
    Takeshi Sugawara; Daisuke Suzuki; Ryoichi Fujii; Shigeaki Tawa; Ryohei Hori; Mitsuru Shiozaki; Takeshi Fujino
    Cryptographic Hardware and Embedded Systems - CHES 2014, Springer Berlin Heidelberg, 2014巻, 掲載ページ 112-126, 出版日 2014年08月, 査読付
    論文集(書籍)内論文
  • On measurable side-channel leaks inside ASIC design primitives
    Takeshi Sugawara; Daisuke Suzuki; Minoru Saeki; Mitsuru Shiozaki; Takeshi Fujino
    Journal of Cryptographic Engineering, Springer Science and Business Media LLC, 4巻, 1号, 掲載ページ 59-73, 出版日 2014年02月28日, 査読付, 招待
    研究論文(学術雑誌)
  • Unified Coprocessor Architecture for Secure Key Storage and Challenge-Response Authentication
    Koichi SHIMIZU; Daisuke SUZUKI; Toyohiro TSURUMARU; Takeshi SUGAWARA; Mitsuru SHIOZAKI; Takeshi FUJINO
    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Institute of Electronics, Information and Communications Engineers (IEICE), E97.A巻, 1号, 掲載ページ 264-274, 出版日 2014年, 査読付
    研究論文(学術雑誌)
  • On Measurable Side-Channel Leaks Inside ASIC Design Primitives
    Takeshi Sugawara; Daisuke Suzuki; Minoru Saeki; Mitsuru Shiozaki; Takeshi Fujino
    Cryptographic Hardware and Embedded Systems - CHES 2013, Springer Berlin Heidelberg, 2013巻, 掲載ページ 159-178, 出版日 2013年, 査読付
    論文集(書籍)内論文
  • Circuit Simulation for Fault Sensitivity Analysis and Its Application to Cryptographic LSI
    Takeshi Sugawara; Daisuke Suzuki; Toshihiro Katashita
    2012 Workshop on Fault Diagnosis and Tolerance in Cryptography, IEEE, 出版日 2012年09月, 査読付
    研究論文(国際会議プロシーディングス)
  • Fair and Consistent Hardware Evaluation of Fourteen Round Two SHA-3 Candidates
    Miroslav Knezevic; Kazuyuki Kobayashi; Jun Ikegami; Shin'ichiro Matsuo; Akashi Satoh; Ünal Kocabas; Junfeng Fan; Toshihiro Katashita; Takeshi Sugawara; Kazuo Sakiyama; Ingrid Verbauwhede; Kazuo Ohta; Naofumi Homma; Takafumi Aoki
    IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Institute of Electrical and Electronics Engineers (IEEE), 20巻, 5号, 掲載ページ 827-840, 出版日 2012年05月, 査読付
    研究論文(学術雑誌)
  • A Configurable On-Chip Glitchy-Clock Generator for Fault Injection Experiments
    Sho ENDO; Takeshi SUGAWARA; Naofumi HOMMA; Takafumi AOKI; Akashi SATOH
    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Institute of Electronics, Information and Communications Engineers (IEICE), E95-A巻, 1号, 掲載ページ 263-266, 出版日 2012年, 査読付
    研究論文(学術雑誌)
  • Evaluation of Information Leakage from Cryptographic Hardware via Common-Mode Current
    Yu-ichi HAYASHI; Naofumi HOMMA; Takaaki MIZUKI; Takeshi SUGAWARA; Yoshiki KAYANO; Takafumi AOKI; Shigeki MINEGISHI; Akashi SATOH; Hideaki SONE; Hiroshi INOUE
    IEICE Transactions on Electronics, Institute of Electronics, Information and Communications Engineers (IEICE), E95.C巻, 6号, 掲載ページ 1089-1097, 出版日 2012年, 査読付
    研究論文(学術雑誌)
  • ハッシュ関数Luffaのハードウェア実装
    片下敏宏; 佐藤証; 菅原健; 本間尚文; 佐藤証; 青木孝文
    情報処理学会論文誌, 情報処理学会, 52巻, 12号, 掲載ページ 3755-3765, 出版日 2011年12月, 査読付, 本論文では,次世代ハッシュ関数SHA-3の候補として提案されたスポンジ関数型のアルゴリズムLuffaに対し,複数のハードウェア・アーキテクチャを提案し,90nm CMOSスタンダードセル・ライブラリによるASIC実装およびXilinx Virtex-5とSpartan-6によるFPGA実装性能評価を行った.その結果ASICでは,回路規模14.7K~62.8Kgatesにおいてスループット3.6G~35.1Gbpsとなり,小型からきわめて高速な実装まで実現可能なことが分かった.またFPGA実装でも同様に,Virtex-5では750~1,548Slicesにおいて1.3G~7.0Gbps,Spartan-6では592~1,535Slicesにおいて1.3G~5.5Gbpsと,同様の実装性能が示された.さらに,同じスポンジ関数型でありSHA-3候補のKeccakアルゴリズムと同条件において比較したところ,Luffaはスループットにおいて同等の性能を持ちつつ,小型実装においてはおよそ半分の回路規模となり,回路構成の柔軟性が高いことが分かった.このほか,データバス構成とデータ処理の独立性が演算回路共有の効果に影響することが分かり,ハッシュ関数の設計においてハードウェア実装ではデータ処理の並列性が重要であることが明らかとなった.This paper presents hardware architectures of the hash algorithm Luffa proposed for the next generation hash standard SHA-3. The architectures were evaluated by using a 90nm CMOS standard cell library and Xilinx Virtex-5 and Spartan-6 FPGA devices. The ASIC implementations achieved a variety of circuits, from compact to very high-speed; throughputs of 3.6G-35.1Gbps with hardware resources of 14.7K-62.8Kgates. The FPGA implementations also showed high performances; throughputs of 1.3G-7.0Gbps with hardware sizes of 750-1,548Slices for Virtex-5, and throughputs of 1.3G-5.5Gbps with hardware sizes of 592-1,535Slices for Spartan-6. In comparison with other SHA-3 candidate Keccak that belongs to a category of a sponge function as same as Luffa, Luffa showed advantages in flexibility from high-speed (comparable to Keccak) to compact (half size of Keccak) hardware implementations. The results also show that data bus structure and parallelism of processing effect in design flexible.
    研究論文(学術雑誌), 日本語
  • An on-chip glitchy-clock generator for testing fault injection attacks
    Sho Endo; Takeshi Sugawara; Naofumi Homma; Takafumi Aoki; Akashi Satoh
    Journal of Cryptographic Engineering, Springer Science and Business Media LLC, 1巻, 4号, 掲載ページ 265-270, 出版日 2011年10月21日, 査読付
    研究論文(学術雑誌)
  • Non-invasive Trigger-free Fault Injection Method Based on Intentional Electromagnetic Interference
    Y. Hayashi; N. Homma; T. Sugawara; T. Mizuki; T. Aoki; H. Sone
    The Non-Invasive Attack Testing Workshop (NIAT 2011), 掲載ページ xx-yy, 出版日 2011年09月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Non-invasive EMI-based fault injection attack against cryptographic modules
    Yu-ichi Hayashi; Naofumi Homma; Takeshi Sugawara; Takaaki Mizuki; Takafumi Aoki; Hideaki Sone
    2011 IEEE International Symposium on Electromagnetic Compatibility, IEEE, 出版日 2011年08月, 査読付
    研究論文(国際会議プロシーディングス)
  • An on-chip glitchy-clock generator and its application to safe-error attack
    S. Endo; T. Sugawara; N. Homma; T. Aoki; A. Satoh
    Second International Workshop on Constructive Side-Channel Analysis and Secure Design (COSADE 2011), 掲載ページ 175-182, 出版日 2011年02月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • High-Performance Architecture for Concurrent Error Detection for AES Processors
    Takeshi SUGAWARA; Naofumi HOMMA; Takafumi AOKI; Akashi SATOH
    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Institute of Electronics, Information and Communications Engineers (IEICE), E94-A巻, 10号, 掲載ページ 1971-1980, 出版日 2011年, 査読付
    研究論文(学術雑誌)
  • Information leakage from cryptographic hardware via common-mode current
    Yu-ichi Hayashi; Takeshi Sugawara; Yoshiki Kayano; Naofumi Homma; Takaaki Mizuki; Akashi Satoh; Takafumi Aoki; Shigeki Minegishi; Hideaki Sone; Hiroshi Inoue
    2010 IEEE International Symposium on Electromagnetic Compatibility, IEEE, 出版日 2010年07月, 査読付
    研究論文(国際会議プロシーディングス)
  • Development of an on-chip micro shielded-loop probe to evaluate performance of magnetic film to protect a cryptographic LSI from electromagnetic analysis
    Masahiro Yamaguchi; Hideki Toriduka; Shoichi Kobayashi; Takeshi Sugawara; Naofumi Hommaa; Akashi Satoh; Takafumi Aoki
    2010 IEEE International Symposium on Electromagnetic Compatibility, IEEE, 出版日 2010年07月, 査読付
    研究論文(国際会議プロシーディングス)
  • Hardware implementations of hash function Luffa
    Akashi Satoh; Toshihiro Katashita; Takeshi Sugawara; Naofumi Homma; Takafumi Aoki
    2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), IEEE, 出版日 2010年06月, 査読付
    研究論文(国際会議プロシーディングス)
  • Biasing Power Traces to Improve Correlation in Power Analysis Attacks
    Y. Kim; T. Sugawara; N. Homma; T. Aoki; A. Satoh
    First International Workshop on Constructive Side-Channel Analysis and Secure Design (COSADE 2010), 掲載ページ 77-80, 出版日 2010年02月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Profiling attack using multivariate regression analysis
    Takeshi Sugawara; Naofumi Homma; Takafumi Aoki; Akashi Satoh
    IEICE Electronics Express, Institute of Electronics, Information and Communications Engineers (IEICE), 7巻, 15号, 掲載ページ 1139-1144, 出版日 2010年, 査読付
    研究論文(学術雑誌)
  • ハッシュ関数Whirlpoolの高スケーラブル回路アーキテクチャ
    菅原健; 本間尚文; 佐藤証; 青木孝文
    情報処理学会論文誌, 情報処理学会, 50巻, 11号, 掲載ページ 2618-2632, 出版日 2009年11月, 査読付
    研究論文(学術雑誌), 日本語
  • Side Channel Attack to Magnetic Near Field of Cryptographic LSI and Its Protection by Magnetic Thin Film
    Masahiro Yamaguchi; Hideki, Toriduka; Shoichi Kobayashi; Takeshi Sugawara; Naofumi Homma; Akashi Satoh; Takafumi Aoki
    Soft Magnetic Materials, 19号, 掲載ページ A3-11, 出版日 2009年09月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Side Channel Attack to Magnetic Near Field of Cryptographic LSI and its Countermeasure by means of Magnetic Thin Film
    M. Yamaguchi; H. Toriduka; S. Kobayashi; T. Sugawara; N. Homma; A. Satoh; T. Aoki
    9th Soft Magnetic Materials Conference (SMM19), A3-11巻, 掲載ページ xx-yy, 出版日 2009年09月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Development of side-channel attack standard evaluation environment
    Toshihiro Katashita; Akashi Satoh; Takeshi Sugawara; Naofumi Homma; Takafumi Aoki
    2009 European Conference on Circuit Theory and Design, IEEE, 出版日 2009年08月, 査読付
    研究論文(国際会議プロシーディングス)
  • Differential power analysis of AES ASIC implementations with various S-box circuits
    Takeshi Sugawara; Naofumi Homma; Takafumi Aoki; Akashi Satoh
    2009 European Conference on Circuit Theory and Design, IEEE, 出版日 2009年08月, 査読付
    研究論文(国際会議プロシーディングス)
  • High-Performance Hardware Architectures for Galois Counter Mode
    Akashi Satoh; Takeshi Sugawara; Takafumi Aoki
    IEEE Transactions on Computers, Institute of Electrical and Electronics Engineers (IEEE), 58巻, 7号, 掲載ページ 917-930, 出版日 2009年07月, 査読付
    研究論文(学術雑誌)
  • Spectrum Analysis on Cryptographic Modules to Counteract Side-Channel Attacks
    T. Sugawara; Y. Hayashi; N. Homma; T. Mizuki; T. Aoki; H. Sone; A. Satoh
    International Symposium on Electromagnetic Compatibility (EMC'09), IEICE, 掲載ページ 21-24, 出版日 2009年07月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • An Analysis of Information Leakage from a Cryptographic Hardware via Common-Mode Current
    Y. Hayashi; T. Sugawara; Y. Kayano; N. Homma; T. Mizuki; A. Satoh; T. Aoki; S. Minegishi; H. Sone; H. Inoue
    International Symposium on Electromagnetic Compatibility (EMC'09), IEICE, 掲載ページ 17-20, 出版日 2009年07月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • オンチップ集積化マイクロ磁界プローブを用いた暗号LSIの近傍磁界計測(放送/一般)
    鳥塚 英樹; 山口 正洋; 菅原 健; 本間 尚文; 佐藤 証; 青木 孝文
    映像情報メディア学会技術報告, 一般社団法人 映像情報メディア学会, 33巻, 掲載ページ 37-42, 出版日 2009年, 暗号モジュールからの漏洩電磁波や消費電力を利用し,暗号解読を行うサイドチャネル攻撃の危険性が指摘されている.本研究では,チップ試作サービスを利用して試作した,シールディドループ型オンチップマイクロ磁界プローブを用いて暗号LSIの近傍磁界を測定した.その結果,暗号LSI内部の高周波電流分布を分布図として示すことができた.また差分電磁波解析により,暗号鍵の推定を行ったところ,暗号コア上において,暗号鍵推定の収束が早く,脆弱性が見られることがわかった.その対策として,暗号LSI上に磁性膜を載せることで近傍磁界強度を抑制することができることが確認された.
    日本語
  • Compact ASIC Architectures for the 512-Bit Hash Function Whirlpool
    Takeshi Sugawara; Naofumi Homma; Takafumi Aoki; Akashi Satoh
    Information Security Applications, Springer Berlin Heidelberg, 掲載ページ 28-40, 出版日 2009年, 査読付
    論文集(書籍)内論文
  • Mechanism behind Information Leakage in Electromagnetic Analysis of Cryptographic Modules
    Takeshi Sugawara; Yu-ichi Hayashi; Naofumi Homma; Takaaki Mizuki; Takafumi Aoki; Hideaki Sone; Akashi Satoh
    Information Security Applications, Springer Berlin Heidelberg, 掲載ページ 66-78, 出版日 2009年, 査読付
    論文集(書籍)内論文
  • Enhanced Correlation Power Analysis Using Key Screening Technique
    Toshihiro Katashita; Akashi Satoh; Takeshi Sugawara; Naofumi Homma; Takafumi Aoki
    2008 International Conference on Reconfigurable Computing and FPGAs, IEEE, 出版日 2008年12月, 査読付
    研究論文(国際会議プロシーディングス)
  • High-Performance Concurrent Error Detection Scheme for AES Hardware
    Akashi Satoh; Takeshi Sugawara; Naofumi Homma; Takafumi Aoki
    Cryptographic Hardware and Embedded Systems – CHES 2008, Springer Berlin Heidelberg, 掲載ページ 100-112, 出版日 2008年08月
    論文集(書籍)内論文
  • High-performance ASIC implementations of the 128-bit block cipher CLEFIA
    Takeshi Sugawara; Naofumi Homma; Takafumi Aoki; Akashi Satoh
    2008 IEEE International Symposium on Circuits and Systems, IEEE, 出版日 2008年05月, 査読付
    研究論文(国際会議プロシーディングス)
  • A High-Resolution Phase-Based Waveform Matching and Its Application to Side-Channel Attacks
    N. HOMMA; S. NAGASHIMA; T. SUGAWARA; T. AOKI; A. SATOH
    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Institute of Electronics, Information and Communications Engineers (IEICE), E91-A巻, 1号, 掲載ページ 193-202, 出版日 2008年01月01日, 査読付
    研究論文(学術雑誌)
  • High-Speed Pipelined Hardware Architecture for Galois Counter Mode
    Akashi Satoh; Takeshi Sugawara; Takafumi Aoki
    Information Security. ISC 2007, Springer Berlin Heidelberg, 掲載ページ 118-129, 出版日 2007年10月
    論文集(書籍)内論文
  • ASIC Performance Comparison for the ISO Standard Block Ciphers
    T. Sugawara; N. Homma; T. Aoki; A. Satoh
    2nd Joint Workshop on Information Security (JWIS2007), IEICE, 掲載ページ 485-498, 出版日 2007年08月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A High-Performance ASIC Implementation of the 64-bit Block Cipher CAST-128
    Takeshi Sugawara; Naofumi Homma; Takafumi Aoki; Akashi Satoh
    2007 IEEE International Symposium on Circuits and Systems, IEEE, 出版日 2007年05月, 査読付
    研究論文(国際会議プロシーディングス)

MISC

  • 暗号ハードウェアの研究開発動向: フィジカリー・アンクローナブル・ファンクション
    菅原健
    筆頭著者, 日本銀行金融研究所, 出版日 2020年10月20日, 日本銀行金融研究所・金融研究, 39巻, 4号, 掲載ページ 25-53, 日本語, 査読付, 記事・総説・解説・論説等(大学・研究所紀要)
  • サイドチャネル攻撃と対策
    菅原健
    出版日 2020年01月, 電子情報通信学会誌小特集, 103巻, 1号, 掲載ページ 45-50, 日本語, 記事・総説・解説・論説等(その他)
  • 組込機器のセキュリティを脅かすレーザーフォールト攻撃
    菅原健; 﨑山一男
    レーザー学会, 出版日 2019年, レーザー研究, 47巻, 7号, 掲載ページ 305-309, 日本語, 記事・総説・解説・論説等(大学・研究所紀要)
  • サイドチャネル攻撃に対する安全性評価の研究動向とEMVカード固有の留意点
    鈴木雅貴; 菅原健; 鈴木大輔
    出版日 2015年10月, 日本銀行金融研究所・金融研究, 34巻, 4号, 掲載ページ 107-133, 査読付
  • 楕円曲線暗号ハードウェアの電力解析による安全性評価
    齋藤和也; 菅原健; 本間尚文; 青木孝文; 佐藤証
    出版日 2010年, 電気関係学会東北支部連合大会講演論文集, 2010巻, 201002268101398604
  • ハッシュ関数Luffaのハードウェア実装
    佐藤証; 片下敏宏; 菅原健; 本間尚文; 青木孝文
    出版日 2010年, 情報処理学会シンポジウムシリーズ(CD-ROM), 2010巻, 1号, 1882-0840, 201002284350578308
  • RFマイクロ磁界プローブによる暗号LSIへの差分電磁波解析とその抑制法
    鳥塚英樹; DHUNGANA S.; 山口正洋; 菅原健; 本間尚文; 青木孝文; 佐藤証
    出版日 2009年, 日本磁気学会学術講演概要集, 33rd巻, 1882-2959, 201202202671827847
  • 周波数領域での暗号モジュールの電力解析
    菅原健; 本間尚文; 林優一; 水木敬明; 青木孝文; 曽根秀昭; 佐藤証
    出版日 2009年, 情報科学技術フォーラム講演論文集, 8th巻, 200902204393983813
  • 磁性薄膜を用いた暗号LSIのサイドチャンネルアタック抑制法とその効果検証
    鳥塚英樹; SANDEEP Dhungana; 山口正洋; 菅原健; 本間尚文; 青木孝文; 佐藤証
    出版日 2009年, 電気学会マグネティックス研究会資料, MAG-09巻, 76-85号, 200902244802306871
  • 暗号モジュールの電磁的な情報漏洩の解析
    林優一; 菅原健; 本間尚文; 水木敬明; 青木孝文; 曽根秀昭; 佐藤証
    出版日 2009年, 電気学会電磁環境研究会資料, EMC-09巻, 16-25号, 200902287337197875
  • AESのハードウェア実装に対するテンプレート攻撃
    KIM Yongdae; 菅原健; 本間尚文; 青木孝文; 佐藤証
    出版日 2009年, 情報科学技術フォーラム講演論文集, 8th巻, 200902293683129452
  • ブロック暗号AESの高性能エラー検出回路方式
    佐藤証; 菅原健; 本間尚文; 青木孝文
    出版日 2008年, 情報処理学会シンポジウムシリーズ(CD-ROM), 2008巻, 1号, 1882-0840, 200902246502654765
  • シフトレジスタ・アーキテクチャによるハッシュ関数Whirlpoolの高性能回路実装
    菅原健; 本間尚文; 青木孝文; 佐藤証
    出版日 2008年, 情報処理学会シンポジウムシリーズ(CD-ROM), 2008巻, 1号, 1882-0840, 200902256659187287
  • 標準評価基板上のASICへの差分電力解析実験
    菅原健; 本間尚文; 青木孝文; 佐藤証
    出版日 2008年, 情報処理学会シンポジウム論文集, 2008巻, 8号, 1344-0640, 200902201198273438
  • 鍵候補の篩い分けによるCPAの高速化と鍵推定精度の向上
    片下敏宏; 佐藤証; 菅原健; 本間尚文; 青木孝文
    出版日 2008年, 情報処理学会シンポジウム論文集, 2008巻, 8号, 1344-0640, 200902205386792219
  • 電源ライン上の漏洩情報を用いたサイドチャネル攻撃
    林優一; 菅原健; 本間尚文; 水木敬明; 青木孝文; 曽根秀昭; 佐藤証
    出版日 2008年, 情報処理学会シンポジウム論文集, 2008巻, 8号, 1344-0640, 200902264044160349
  • 128ビットブロック暗号CLEFIAのASIC実装
    菅原健; 本間尚文; 青木孝文; 佐藤証
    出版日 2007年, 情報処理学会シンポジウム論文集, 2007巻, 10号, 1344-0640, 200902206243810107
  • 波形フィルタリングによる暗号モジュールへの高精度電力解析
    長嶋聖; 本間尚文; 菅原健; 青木孝文; 佐藤証
    出版日 2007年, 情報処理学会シンポジウムシリーズ(CD-ROM), 2007巻, 1号, 1882-0840, 200902249892651841
  • サイドチャネル攻撃標準評価FPGAボードを用いた暗号ハードウェアに対する電力解析実験
    菅原健; 本間尚文; 青木孝文; 佐藤証
    出版日 2007年, 情報処理学会シンポジウムシリーズ(CD-ROM), 2007巻, 1号, 1882-0840, 200902277223054319
  • 64ビットブロック暗号CAST-128の小型ASIC実装
    菅原健; 本間尚文; 青木孝文; 佐藤証
    出版日 2006年, 情報処理学会シンポジウム論文集, 2006巻, 11号, 1344-0640, 200902239832510283
  • 64ビットブロック暗号CAST-128の小型ASIC実装
    菅原健; 本間尚文; 青木孝文; 佐藤証
    出版日 2006年, 情報処理学会シンポジウム論文集, 1344-0640, 200902291469640759
  • 漏洩電磁波による共通鍵暗号処理ハードウェアの動作解析
    菅原健; 本間尚文; 青木孝文; 佐藤証
    出版日 2006年, 電気関係学会東北支部連合大会講演論文集, 2006巻, 200902224640498037

書籍等出版物

  • 暗号ハードウェアのセキュリティ
    崎山一男; 菅原健,李陽
    学術書, 日本語, 共著, 出版日 2019年06月01日, ISBN 9784339028942

講演・口頭発表等

  • Changing of the Guards の一般化
    菅原健
    口頭発表(一般), 日本語, 2019年暗号と情報セキュリティシンポジウム(SCIS2019), 国内会議
    発表日 2019年01月
  • FPGA 搭載サーバにおける秘匿アクセラレーション
    鈴木大輔; 梨本翔永; 永塚智之; 高木翼,李陽; 﨑山一男; 菅原健
    口頭発表(一般), 日本語, 2019年暗号と情報セキュリティシンポジウム(SCIS2019), 国内会議
    発表日 2019年01月
  • SDAccel環境を用いたAES暗号CTRモードの高性能実装
    高木翼; 李陽; 﨑山一男; 菅原健; 鈴木大輔; 梨本翔永
    口頭発表(一般), 日本語, 2019年暗号と情報セキュリティシンポジウム(SCIS2019), 国内会議
    発表日 2019年01月
  • 分光スペクトルを用いたLEDの個体識別における電流変化の影響
    藤聡子,李陽; 崎山一男; 菅原健
    口頭発表(一般), 日本語, 2019年暗号と情報セキュリティシンポジウム(SCIS2019), 国内会議
    発表日 2019年01月
  • AESの指定したラウンド間差分の平文探索アルゴリズムの改良
    伊藤俊輔; 菅原健; 﨑山一男; 李陽
    口頭発表(一般), 日本語, IEICE2018ソサイエティ大会, 国内会議
    発表日 2018年09月
  • AES暗号への故障差分攻撃のモデル化と攻撃回数の評価
    羽田野凌太; 庄司奈津; 李陽; 菅原健; 﨑山一男
    口頭発表(一般), 日本語, IEICE2018ソサイエティ大会, 国内会議
    発表日 2018年09月
  • Arbiter PUFへのサイドチャネルモデリング攻撃の実装と応用
    八代理沙; 藤聡子; 菅原健; 﨑山一男
    口頭発表(一般), 日本語, IEICE2018ソサイエティ大会, 国内会議
    発表日 2018年09月
  • 様々な実験条件におけるジャイロセンサのセンサなりすまし攻撃に関する基礎的検討
    西山優太; 李陽; 﨑山一男; 菅原健
    口頭発表(一般), 日本語, IEICE2018ソサイエティ大会, 国内会議
    発表日 2018年09月
  • 分光器を用いたLEDの個体識別に向けた基礎的研究
    藤聡子; 李陽; 﨑山一男; 菅原健
    口頭発表(一般), 日本語, IEICE2018ソサイエティ大会, 国内会議
    発表日 2018年09月
  • A Case Study of Row Hammer under Different Refresh Rates
    E. Tatsumi; K. Sakiyama; T. Sugawara
    ポスター発表, 英語, IWSEC2018, 国内会議
    発表日 2018年08月
  • レーザー故障注入攻撃対策を備えた暗号ICの設計手法
    松田航平; 藤井達哉; 庄司奈津; 菅原健; 﨑山一男; 林優一; 永田真; 三浦典之
    口頭発表(一般), 日本語, 情報処理学会DAシンポジウム2018, 国内会議
    発表日 2018年08月
  • Arbiter PUFに対する攻撃手法に関する一考察
    八代理沙; 菅原健; 﨑山一男
    口頭発表(一般), 日本語, 情報処理学会DAシンポジウム2018, 国内会議
    発表日 2018年08月
  • 基盤電流センサと電源瞬断回路を利用した小面積レーザーフォールト注入攻撃対策
    松田航平; 藤井達哉; 庄司奈津; 菅原健; 﨑山一男; 林優一; 永田真; 三浦典之
    口頭発表(一般), 日本語, ハードウェアセキュリティ研究会(HWS), 国内会議
    発表日 2018年04月
  • C66xDSPにおけるペアリングの高速実装
    松原祐衣子; 宮元景冬; 菅原健; 﨑山一男
    口頭発表(一般), 日本語, 2018年暗号と情報セキュリティシンポジウム(SCIS2018), 国内会議
    発表日 2018年01月
  • 光に重畳したサイドチャネル情報に関する基礎的な解析
    松村竜我; 菅原健; 﨑山一男
    口頭発表(一般), 日本語, 2018年暗号と情報セキュリティシンポジウム(SCIS2018), 国内会議
    発表日 2018年01月
  • デバイスドライバを用いたRow Hammerのテストツール
    辰巳恵里奈; 菅原健; 﨑山一男
    口頭発表(一般), 日本語, 2018年暗号と情報セキュリティシンポジウム(SCIS2018), 国内会議
    発表日 2018年01月
  • パブリッククラウド上のFPGAにおける悪性ハードウェア
    菅原健; 﨑山一男; 梨本翔永; 永塚智之
    口頭発表(一般), 日本語, 2018年暗号と情報セキュリティシンポジウム(SCIS2018), 国内会議
    発表日 2018年01月
  • フォルト検出センサを悪用した非侵襲プロービング攻撃
    菅原健; 庄司奈津; 﨑山一男; 松田航平; 三浦典之; 永田真
    口頭発表(一般), 日本語, 2018年暗号と情報セキュリティシンポジウム(SCIS2018), 国内会議
    発表日 2018年01月
  • ブロック暗号へのプロービング攻撃における鍵復元効率の正確な評価モデル
    庄司奈津; 菅原健; 岩本貢; 﨑山一男
    口頭発表(一般), 日本語, 2018年暗号と情報セキュリティシンポジウム(SCIS2018), 国内会議
    発表日 2018年01月
  • センサフュージョンの攻撃耐性に関するセキュリティ評価
    梨本翔永; 鈴木大輔; 菅原健; 﨑山一男
    口頭発表(一般), 日本語, 2018年暗号と情報セキュリティシンポジウム(SCIS2018), 国内会議
    発表日 2018年01月
  • An Evaluation of Ineffective Fault Analysis on AES using Single-Bit Bit-Set/Reset Faults
    N. Shoji; R. Matsumura; T. Sugawara; K. Sakiyama
    ポスター発表, 英語, IWSEC2017, 国内会議
    発表日 2017年08月
  • ダイオードレーザーを用いた光によるサイドチャネル認証
    松村竜我; 庄司奈津; 菅原健; 﨑山一男
    口頭発表(一般), 日本語, ハードウェアセキュリティ研究会(HWS), 国内会議
    発表日 2017年06月
  • 誤り暗号文を使わないAESへの故障利用攻撃
    庄司奈津; 松村竜我; 菅原健; 﨑山一男
    口頭発表(一般), 日本語, ハードウェアセキュリティ研究会(HWS), 国内会議
    発表日 2017年06月
  • RowHammerに関する基礎実験
    辰巳恵里奈; 菅原健; 﨑山一男
    口頭発表(一般), 日本語, ハードウェアセキュリティ研究会(HWS), 国内会議
    発表日 2017年06月

担当経験のある科目_授業

  • コンテンツセキュリティ特論
    2023年04月 - 現在
    大学院専門科目
  • 基礎科学実験A
    2017年10月 - 現在
    電気通信大学
  • 暗号情報セキュリティ
    2017年10月 - 現在
    電気通信大学
  • オペレーティングシステム
    2017年04月 - 現在
    電気通信大学
  • 大学院技術英語
    2020年04月 - 2020年09月
    電気通信大学

共同研究・競争的資金等の研究課題

  • 光音響効果を用いたシグナルインジェクション攻撃とその対策
    菅原 健
    日本学術振興会, 科学研究費助成事業 基盤研究(C), 電気通信大学, 基盤研究(C), 研究代表者, ・真空容器内でマイクにレーザー照射実験を行い,空気圧によってライトコマンドの結果がどのように変化するか検証した.その結果,主要な原因は熱ピストモンモデルによって生じる光音響効果であるものの,光電効果も同時に生じていることがわかった.本結果を,国際会議(IEEE Sensors)で発表した.より詳細には,真空容器内でマイクにレーザー照射実験を行い,空気圧によってライトコマンドの結果がどのように変化するか検証した.研究当初,ライトコマンドの原理としては,光音響効果と,光電効果の2つが候補となっていた.上記実験は,両者の分離を目的とする.容器内の気圧は,機械的な振動を伴う光音響効果に変化を及ぼすが,半導体チップ内で生じる光電効果には影響しないためである.実験の結果,主要な原因は熱ピストモンモデルによって生じる光音響効果であるものの,光電効果も同時に生じていることがわかった.また,それらの物理メカニズムにより,影響を持つ周波数帯域が異なることを明らかにした.
    ・また,上記研究からの派生として,実験で用いたレーザー振動計の別のセキュリティ応用として,MLCC から生じる音響リークの周波数特性について研究を行った.この成果は,国内シンポジウム(ソサイエティ大会,SCIS)で発表を行った.
    ・さらに,別種センサへの拡張として,温度センサに誤情報を挿入する攻撃について研究し,論文誌(AAMI Biomedical Instrumentation & Technology)で発表した., 21K11884
    研究期間 2021年04月 - 2024年03月
  • アナログ回路へのレーザーフォールト攻撃の安全性評価
    セコム科学技術振興財団, 令和2年度挑戦的研究助成
    研究期間 2020年04月 - 2023年03月
  • 暗号技術によるIoTエコシステムのレジリエンス向上
    崎山 一男; 廣瀬 勝一; 李 陽; 宮原 大輝; 渡邉 洋平; 岩本 貢; 駒野 雄一; 菅原 健; 三浦 典之; 太田 和夫
    日本学術振興会, 科学研究費助成事業 基盤研究(S), 電気通信大学, 基盤研究(S), 研究分担者, リーク耐性暗号、リーク鍵の蒸留、及びリーク検知技術の3つの研究テーマの実績は以下の通りである。得られた成果は国内会議、国際会議、及び論文誌で発表した。 1)リーク耐性暗号: IDベース暗号について、マスター鍵が漏洩する場合でも安全となる構成法を提案した。また、復号鍵の漏洩に耐性のある鍵失効機能付きIDベース暗号の効率化に成功した。さらに、秘密鍵の盗難や紛失時の鍵漏洩耐性や秘密鍵自体の分散管理について検討した。カードベース暗号について、新しい物理道具を用いる秘密計算プロトコルを提案した。暗号利用モードについては、Lesamnta-LWの性能向上と応用について提案した。情報漏洩の形式的モデルへのフィードバックについては、演算器やマイクロアーキテクチャを精査し、新たな漏洩源を発見するとともに対策法を提案した。 2)リーク鍵の蒸留:AES暗号の秘密鍵復元において、検査フェイズを新たに導入し、従来0%の復元成功確率であった解析を約40%に向上することができた。プロービング攻撃への対策であるマスク実装について、あるブール関数を用いることで効率化できることを発見した。また、SHA-256圧縮関数の代数的故障利用解析やMAC関数chop-MDの偽造攻撃について、効率的な解析手法を考案した。チーム三浦/岩本との連携では、リキー方式の安全性と実装性を再考し、攻撃検知後に漏洩リスク下にある部分鍵を更新する新たな方式を構築した。この方式を搭載したAES暗号処理回路を設計した。 3)リーク検知技術:KU Leuven大と共同で作製したM&M技術により対策されたAES暗号ハードウェアの安全性評価を完了した。さらに連携を深めることで、リーケージセンサとアルゴリズムレベルでの対策技術の協調設計手法に着手できた。リーケージセンサについては、物理的なダイレクトプロービング攻撃の検知感度を高めた新たな回路を開発した。, 18H05289
    研究期間 2018年06月 - 2023年03月
  • 複製不可能デバイスを活用したIoT ハードウェアセキュリティ基盤の研究開発
    NEDO: 国立研究開発法人新エネルギー・産業技術総合開発機構, IoT 推進のための横断技術開発プロジェクト
    研究期間 2019年04月01日 - 2021年03月31日
  • レーザを用いてセンサに誤情報を挿入する攻撃とその対策に関する研究
    菅原 健
    日本学術振興会, 科学研究費助成事業 若手研究, 電気通信大学, 若手研究, 研究代表者, スマートフォンやスマートスピーカーで使われる小型マイクにレーザーを照射することで,実際には無音であるにも関わらず,あたかも音声を受信したかのような電気信号を発生させることができる現象を発見した.また,この現象が音声アシスタントに及ぼす脅威を明らかにした.市販されている機器に対して安全性評価を行い,レーザーポインター程度のパワーで,100メートル以上先から攻撃ができることを明らかにした.本研究成果により,セキュリティ分野のトップカンファレンスである USENIX Security に採録を受けた.本脆弱性について責任ある脆弱性開示を行い,対策構築に協力した., 18K18047
    研究期間 2018年04月 - 2021年03月
  • レーザを用いてセンサに誤情報を挿入する攻撃のアナログサイバーセキュリティ
    菅原 健
    日本学術振興会, 科学研究費助成事業 国際共同研究加速基金(国際共同研究強化(A)), 電気通信大学, 国際共同研究加速基金(国際共同研究強化(A)), 研究代表者, スマートフォンやスマートスピーカーで使われる小型マイクにレーザーを照射することで,実際には無音であるにも関わらず,あたかも音声を受信したかのような電気信号を発生させることができる現象を発見した.また,この現象が音声アシスタントに及ぼす脅威を明らかにした.市販されている機器に対して安全性評価を行い,レーザーポインター程度のパワーで,100メートル以上先から攻撃ができることを明らかにした.本研究成果により,セキュリティ分野のトップカンファレンスである USENIX Security に採録を受けた.本脆弱性について責任ある脆弱性開示を行い,対策構築に協力した., 18KK0312
    研究期間 2018年 - 2020年
  • 複製不可能デバイスを活用したIoT ハードウェアセキュリティ基盤の研究開発
    NEDO: 国立研究開発法人新エネルギー・産業技術総合開発機構, IoT 推進のための横断技術開発プロジェクト
    研究期間 2017年06月01日 - 2019年03月31日
  • IoT機器のサイドチャネル攻撃耐性評価のためのテストベッド構築
    菅原 健
    日本学術振興会, 科学研究費助成事業 研究活動スタート支援, 電気通信大学, 研究活動スタート支援, 研究代表者, プロジェクト遂行への効率化を目的として,当初の計画から実行順を修正し,まず,仕様書・実装の調査に基づく脅威分析を行った.より具体的には,(I)機器への認証情報の遠隔初期設定,(II)機器間グループへの参加 ・離脱管理,および(III)ファームウェアアップデートを包含する IoT フレームワークである LWM2M とThread を対象として脅威分析を実施した.その結果,リバースエンジニアリングなどの従来良く知られた脅威に加え,(i) サーバ・ゲートウェイにおける遠隔からマイクロアーキテクチャ・サイドチャネル攻撃, および (ii)エッジデバイスの暗号実装としてデファクトスタンダードになりつつある DTLS(Datagram Transport Layer Security,暗号通信のための通信プロトコル)の電力解析攻撃を,IoT 特有で,これまでに見逃されていた重要な脅威として洗い出した.その過程で得た知見を元に,日本銀行が主催する情報セキュリティ・セミナーにおいて,IoTセキュリティに関する講演を行った. 以上の分析に基づき,テストベッドを構成するサーバ・ゲートウェイ・エッジデバイスを調達し,それらの設定・立ち上げを行った.また,脅威を検証するための実証実験に先駆けて着手した.(i) マイクロアーキテクチャ・サイドチャネル攻撃については,優先して評価環境構築・実証実験を優先して行った.その結果,サーバにおける攻撃の実現可能性を明らかにするための可視化を行なうとともに, ゲートウェイにおいて問題の存在を検証するためのチェックツールを開発した.(ii) DTLS については,エッジデバイスを模したマイコン上にDTLS を移植し,電力解析攻撃の安全性評価を行なうためのテストベッド構築を行った., 17H06681
    研究期間 2017年08月 - 2019年03月
  • レーザーフォールト攻撃による情報漏洩を防ぐ耐タンパー技術の総合的研究
    崎山 一男; 林 優一; 三浦 典之; 菅原 健; フェルバーウェーデ イングリッド; ダンジェ ジャンルック; バシーン シバム; 李 陽
    日本学術振興会, 科学研究費助成事業 基盤研究(A), 電気通信大学, 基盤研究(A), 研究分担者, 本研究では、レーザー光を用いて暗号回路に故意にソフトエラーを誘発させ、秘密情報の取得を試みるレーザーフォールト攻撃に対する抜本的対策技術を確立した。具体的には、(1)レーザーフォールト攻撃の評価環境の構築、(2)レーザー照射時の基板電位変動の実測と攻撃検知手法の開発、(3)検知に基づく暗号アルゴリズムレベルの対策技術の開発、及び(4)対策技術の安全性評価を行った。物理的・数理的観点からレーザーフォールト攻撃における情報漏洩メカニズムの理解を深め、プロトタイプICチップを用いて対策技術の実現可能性を明らかにした。, 15H01688
    研究期間 2015年04月 - 2019年03月
  • 暗号ハードウェアのリアルワールド解析技術に関する研究
    菅原 健
    日本学術振興会, 科学研究費助成事業, 東北大学, 特別研究員奨励費, 平成22年度は,高安全暗号モジュール設計理論について研究を行い,交付申請書の項目に対応して以下の成果を得た。 1.安全性評価手法の高度化として,攻撃者が計測波形に対してプリプロセスを行うことを想定した評価法を示した.まず,時間領域での解析法として,重回帰分析に基づき,時間波形の移動平均などによる品質改善の限界を評価する手法を示した.また,周波数領域での解析法として,漏洩情報を含む狭帯域を同定する手法を示し,帯域制限による波形整形の影響の評価に応用できることを示した. 2.項目1の手法に基づき,ケーブルからの電磁界放射や,チップ近傍からの磁界計測など,計測手法に応じた攻撃の能力を定量的に評価・分類した.数十マイクロメートルの空間分解能を有する磁界プローブを、開封したチップの100マイクロメートル程度に配置した近傍からの計測では,回路内の信号線の寄与がばらつきを考慮した評価が必要であることを示した.一方,遠隔からの計測波形では,周辺機器から伝導する無相関ノイズは,項目1の信号処理技術による波形整形により,効率的に除去できることを示した. 3.脅威を定量的に評価するための手法として,漏洩信号のモデル化と,鍵が既知の条件で行うシミュレーション(既知鍵検査)に基づく安全性評価法を考案した.本手法により,暗号回路の信号線の寄与分の違いを考慮した信号雑音比(SNR)を波形のみを用いて評価できることを示した.また,本シミュレーション手法を応用することで,適合する漏洩モデルの推定が可能であることを示した., 08J08039
    研究期間 2008年 - 2010年

産業財産権

  • レーダ装置及び制御システム
    特許権, 菅原健, 特願2018-550931, 出願日: 2016年11月17日, 特許6490320, 発行日: 2019年03月27日
  • 信号処理装置、信号処理方法及び信号処理プログラム
    特許権, 梨本翔永, 菅原健, 特願2018-540578, 出願日: 2019年09月26日, 特許6448878, 発行日: 2019年01月09日
  • 無線通信装置,論理値選択方法及び論理値選択プログラム
    特許権, 菅原健, 特願2016-560844, 出願日: 2016年04月27日, 特許6109445, 発行日: 2017年04月05日
  • セキュリティ装置,及びセキュリティ方法
    特許権, 福田寿志, 米田健, 小林信博, 鈴木大輔, 三澤学, 清水孝一, 菅原健, 特願2016-571759, 出願日: 2015年11月05日, 特許6103169, 発行日: 2017年03月29日
  • 乱数拡大装置、乱数拡大方法及び乱数拡大プログラム
    特許権, 菅原健, 特願2016-558424, 出願日: 2015年01月15日, 特許6058245, 発行日: 2017年01月11日
  • ソフトウェア更新装置及びソフトウェア更新プログラム
    特許権, 菅原健, 特願2015-546189, 出願日: 2013年11月06日, 特許6053950, 発行日: 2016年12月27日
  • 半導体装置
    特許権, 菅原健, 特願2015-527087, 出願日: 2013年07月16日, 特許5976220, 発行日: 2016年08月23日
  • 攻撃検出装置
    特許権, 佐伯稔, 菅原健, JP2015/064025, 出願日: 2015年05月15日
  • 誤り検出機能を備える符号化又は復号処理のための回路構成
    特許権, 佐藤証, 菅原健, 本間尚文, 青木孝文, 特願2008-130361, 出願日: 2008年05月19日, 特許5164154, 発行日: 2013年03月13日
  • 半導体装置
    特許権, ヴィオムカミーユ, 鈴木大輔, 菅原健, 遠藤隆, 特願2013-15227, 出願日: 2013年01月30日