石橋 孝一郎

名誉教授・その他関係者名誉教授

学位

  • 工学博士, 東京工業大学
  • Doctor of Engineering, Tokyo Institute of Technology

研究キーワード

  • 生体医工学
  • エネルギーハーベスティング
  • Sensor networks
  • MEMS
  • Low Power LSI Circuit Technologies
  • Low Power Integrated Electronics
  • センサネットシステム
  • 低電力LSI回路技術
  • 低電力集積エレクトロニクス

研究分野

  • ものづくり技術(機械・電気電子・化学工学), 電子デバイス、電子機器

経歴

  • 2004年04月01日 - 2011年03月31日
    ルネサスエレクトロニクス(株), 技術開発本部 設計技術統括部, 基盤IP開発部長
  • 2001年10月01日 - 2004年03月31日
    (株)半導体理工学研究センター, 設計技術開発部 低電力技術開発室, 低電力技術開発室長
  • 1985年04月01日 - 2001年09月30日
    (株)日立製作所 中央研究所, ULSI研究センター, 主任研究員 631研究ユニット ユニットリーダー

学歴

  • 1980年04月 - 1985年03月
    東京工業大学, 総合理工学研究科, 電子システム
  • 1973年04月01日 - 1976年03月31日
    (私立)城北高校

委員歴

  • 2016年
    Steering Committee member, FDSE(International Conference on Future Data and Security Engineering), 学協会
  • 2016年
    Steering Committee member, FDSE(International Conference on Future Data and Security Engineering), 学協会
  • 2016年
    Steering Committee member, ACOMP(International Conference on Advanced Computing and Applications), 学協会
  • 2016年
    Steering Committee member, ACOMP(International Conference on Advanced Computing and Applications), 学協会
  • 2013年08月01日
    ACOMP Program Committee, その他
  • 2011年04月
    集積回路研究会 基盤IPCチェア, 電気情報通信学会, 学協会
  • 2005年01月
    Fellow 2005-, IEEE, 学協会
  • 2005年01月
    Fellow 2005-, IEEE, 学協会

受賞

  • 受賞日 2018年06月
    2018 Thailand-Japan MicroWave (TJMW2018)
    2018 Thailand-Japan MicroWave (TJMW2018) Young researcher encouragement award
    国際学会・会議・シンポジウム等の賞
  • 受賞日 2013年12月
    2013 Thailand-Japan MicroWave (TJMW2013)
    2013 Thailand-Japan MicroWave (TJMW2013) Young researcher encouragement award
  • 受賞日 2010年
    平成22年度 関東地方発明表彰 発明奨励賞
  • 受賞日 2005年02月
    IEEE
    USA
    IEEE Fellow Award
    アメリカ合衆国
  • 受賞日 2003年02月
    ISSCC 2003 Program Committee
    USA
    Technical-Paper Presentations at ISSCC 1964-2003 on the occasion of the ISSCC 50th anniversary
    アメリカ合衆国
  • 受賞日 2001年
    マイコンの超低電力化回路技術
    2001年度武田研究奨励賞
  • 受賞日 1999年
    R&D 100 1999 (selected by R & D 100 magazine)
  • 受賞日 1988年03月
    西8号館 空調用熱源機器(暖房)運転管理方法
    手島工業教育資金団 研究論文賞

論文

  • Sharp Turn-on Diode by Steep SS “PN-Body Tied SOI FET” for Ultra-low Power RF Energy Harvesting
    Masayuki Ono; Jiro Ida; Takayuki Mori; Koichiro Ishibashi
    2023 7th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), IEEE, 出版日 2023年03月07日
    研究論文(国際会議プロシーディングス)
  • Systems on a Chip With 8 and 32 Bits Processors in 0.18-μm Technology for IoT Applications
    Marco Sarmiento; Khai-Duy Nguyen; Ckristian Duran; Ronaldo Serrano; Trong-Thuc Hoang; Koichiro Ishibashi; Cong-Kha Pham
    IEEE Transactions on Circuits and Systems II, 69巻, 5号, 出版日 2022年03月22日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Small-Scale Depthwise Separable Convolutional Neural Networks for Bacteria Classification
    Duc-Tho Mai; Koichiro Ishibashi
    MDPI Journal of Electronics 2021, 10(23)3005巻, 出版日 2021年12月, 査読付
    研究論文(学術雑誌), 英語
  • RF Evaluation of Steep Subthreshold Slope “PN-Body Tied SOI-FET”
    Mitsuhiro Yuizono; Jiro Ida; Takayuki Mori; Koichiro Ishibashi
    2021 IEEE International Meeting for Future Electron Devices, Kansai (IMFEDK), IEEE, 出版日 2021年11月17日
    研究論文(国際会議プロシーディングス)
  • Developing Ultralow Trun-on Voltage Diode by Steep Slope "PN-Body Tied SOI-FET"
    Masayuki Ono; Jiro Ida; Takayuki Mori; Koichiro Ishibashi
    2021 IEEE International Meeting for Future Electron Devices, Kansai (IMFEDK), IEEE, 出版日 2021年11月17日
    研究論文(国際会議プロシーディングス)
  • Bacteria Shape Classification using Small-Scale Depthwise Separable CNNs
    Duc-Tho Mai; Koichiro Ishibashi
    1巻, 出版日 2021年11月01日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Infectious Disease Screening system using Medical Radar and Data Quality Assessment by Efficient Neural Network Hardware
    Koki Kumagai; Duc-Tho Mai; Koichiro Ishibashi
    1巻, 出版日 2021年10月22日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Low-Power Low-Area SoC based in RISC-V Processor for IoT Applications
    Ronaldo Serrano; Marco Sarmiento; Ckristian Duran; Khai-Duy Nguyen; Trong-Thuc Hoang; Koichiro Ishibashi; Cong-Kha Pham
    1巻, 出版日 2021年10月06日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Sub-μ W Reversed-Body-Bias 8-bit Processor on 65-nm Silicon-on-Thin-Box (SOTB) for IoT Applications
    Marco Sarmiento; Khai-Duy Nguyen; Ckristian Duran; Trong-Thuc Hoang; Ronaldo Serrano; Van-Phuc Hoang; Xuan-Tu Tran; Koichiro Ishibashi; Cong-Kha Pham
    IEEE Transactions on Circuits and Systems II, vol. 68巻, 9号, 掲載ページ 3182-3186, 出版日 2021年09月, 査読付
    研究論文(学術雑誌), 英語
  • Bacteria Shape Recognition with the Kotobuki's model
    Duc-Tho Mai; Koichiro Ishibashi
    生体医工学会, 59巻, 掲載ページ 859, 出版日 2021年06月17日, 査読付
    研究論文(研究会,シンポジウム資料等), 英語
  • High Accuracy Heartbeat Detection from CW-Doppler Radar Using Singular Value Decomposition and Matched Filter
    Yuki Iwata; Han Trong Thanh; Guanghao Sun; Koichiro Ishibashi
    MDPI Journal of Sensors 2021, 21巻, 3588号, 出版日 2021年05月, 査読付
    研究論文(学術雑誌), 英語
  • Analysis of Drain Current Enhancement in "PN-Body Tied SOI-FET" -Bulk vs Surface Conduction Mode and Low Vds Saturation Effect-
    Hiroki Itoh; Jiro Ida; Takayuki Mori; Koichiro Ishibashi
    2021 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), IEEE, 出版日 2021年04月19日
    研究論文(国際会議プロシーディングス)
  • Transfer Characteristics of CMOS Inverter using Steep SS PN-Body Tied SOI-FET
    Shota Ishiguro; Jiro Ida; Takayuki Mori; Koichiro Ishibashi
    1巻, 掲載ページ 1, 出版日 2021年04月19日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Concurrent Triple-band RF Energy Harvesting Circuit for IoT Sensor Networks
    Luong Duy Manh; Phan Thi Bich; Nguyen Thuy Linh; Nguyen Huy Hoang; Tran Xuan Nam; Koichiro Ishibashi
    IEIE Transactions on Smart Processing and Computing, vol.10巻, no. 2号, 掲載ページ 1-9, 出版日 2021年04月, 査読付
    研究論文(学術雑誌), 英語
  • A Concurrent Triple-band RF Energy Harvesting Circuit for IoT Sensor Networks
    Luong Duy Manh; Phan Thi Bich; Nguyen Thuy Linh; Nguyen Huy Hoang; Tran Xuan Nam; Koichiro Ishibashi
    IEIE Transactions on Smart Processing and Computing, 10巻, 2号, 出版日 2021年04月01日, 査読付
    研究論文(学術雑誌), 英語
  • Effects of Modulated Waveform on RF Energy Harvesting
    Linh Thuy Nguyen; Luong Duy Manh; Koichiro Ishibashi
    Proceedings of ICGHIT 2021, 1巻, 出版日 2021年01月13日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Effect of Modulated Waveform on RF Energy Harvesting
    Nguyen Thuy Linh; Luong Duy Manh; Koichiro Ishibashi; “Effect of; Modulated; Waveform on; RF Energy Harvesting
    1巻, 掲載ページ 1, 出版日 2021年01月13日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Machine Learning based Classification Model for Screening of Infected Patients Using Vital Signs
    Thanh Han Trong; Yen Pham Huong; Lam Nguyen; Dang Son; Yuki Iwata; Tuan Do Trong; Koichiro Ishibashi; Guanghao Sun
    Informatics in Medicine Unlocked, vol. 24 100592巻, 出版日 2021年, 査読付
    研究論文(学術雑誌), 英語
  • Short time cardio-vascular pulses estimation for dengue fever screening via continuous-wave Doppler radar using empirical mode decomposition and continuous wavelet transform
    Nguyen Dinh Chinh; Luu Manh Ha; GuanghaoSun; Le Quoc Anh; Pham Viet Huong; Tran Anh Vu; Tran Trong Hieu; Tran Duc Tan; Nguyen Vu Trung; Koichiro Ishibashi; Nguyen Linh Trung
    Elsevier, Elsevier, Vol.65巻, 掲載ページ 102361, 出版日 2021年, 査読付
    研究論文(学術雑誌), 英語
  • 7.6 uW Ambient Energy Harvesting Rectenna from LTE Mobile phone Signal for IoT Applications
    Linh Nguyen; Yasuo Sato; Koichiro Ishibashi
    International Conference on Advanced Technologies for Communications (ATC 2020), 1巻, 出版日 2020年10月08日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Energy Harvesting from Environment RF for IoT Applications
    Koichiro Ishibashi
    International Conference on Advanced Technologies for Communications (ATC 2020), 1巻, 出版日 2020年10月08日, 査読付, 招待
    研究論文(国際会議プロシーディングス), 英語
  • 7.6 uW Ambient Energy Harvesting Rectenna from LTE Mobile phone Signal for IoT Applications
    Linh Nguyen; Yasuo Sato; Koichiro Ishibashi
    1巻, 掲載ページ 1, 出版日 2020年10月01日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • RF Energy Harvesting using Cross-couple Rectifier and DTMOS on SOTB with Phase Effect of Paired RF Inputs
    Thuy-Linh Nguyen; Shiho Takahashi; Van-Trung Nguyen; Yasuo Sato; Koichiro Ishibashi
    ECTI Transactions on Electrical Engineering, Vol.18巻, No.2号, 掲載ページ 170-178, 出版日 2020年08月01日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Contactless Heartbeat Detection from CW-Doppler Radar using Windowed-Singular Spectrum Analysis
    Yuki IWATA; Koichiro ISHIBASHI; Guanghao SUN; Manh Ha LUU; Trong Thanh HAN; Linh Trung NGUYEN; Trong Tuan DO
    Conference Paper | Publisher: IEEE, 1巻, 出版日 2020年07月20日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Visualization of epidemiological map using an Internet of Things infectious disease surveillance platform
    Guanghao Sun; Nguyen Vu Trung; Le Thi Hoi; Pham Thanh Hiep; Koichiro Ishibashi; Takemi Matsui
    Critical Care, Vol.24巻, Article number 400号, 掲載ページ 1-1, 出版日 2020年07月01日, 査読付
    研究論文(学術雑誌), 英語
  • Contactless Heartbeat Detection from CW-Doppler Radar using Windowed-Singular Spectrum Analysis
    Yuki IWATA; Koichiro ISHIBASHI; Guanghao SUN; Manh Ha LUU; Trong Thanh HAN; Linh Trung NGUYEN; Trong Tuan DO
    1巻, 掲載ページ 1, 出版日 2020年07月01日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Contactless Heartbeat Detection from CW-Doppler Radar using Windowed-Singular Spectrum Analysis
    Yuki IWATA; Koichiro ISHIBASHI; Guanghao SUN; Manh Ha LUU; Trong Thanh HAN; Linh Trung NGUYEN; Trong Tuan DO
    2020 42nd Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC), 1巻, 出版日 2020年06月20日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • CR-SSAによる呼吸及び体動下での非接触な心拍検出
    岩田 勇樹; 石橋 孝一郎; 孫 光鎬; ルー マンハ; ハン チョンタイン; グエン リンチュン; ド チョントゥアン
    ジャーナル フリー, Annual58巻, Abstract号, 掲載ページ 455, 出版日 2020年05月25日, 査読付
    研究論文(国際会議プロシーディングス), 日本語
  • A Novel Circuit Combining a Dual-Band Antenna with a RF Diplexer for Concurrent Dual-Band RF Energy Harvesting Applications
    Luong Duy Manh; Phan Thi Bich; Truong Anh Dung; Koichiro Ishibashi
    1巻, 掲載ページ 1-1, 出版日 2020年02月01日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Machine Learning Algorithms for Dengue Fever Patient Classification,
    Han Trong Thanh; Pham Huong Yen; Koichiro Ishibashi; Guanghao Sun; Tuan Do Trong
    1巻, 掲載ページ 1, 出版日 2020年01月14日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Visualisation of epidemiological map using an Internet of Things infectious disease surveillance platform
    Guanghao Sun; Nguyen Vu Trung; Le Thi Hoi; Pham Thanh Hiep; Koichiro Ishibashi; Takemi Matsui
    Critical Care, 24巻, 掲載ページ 400, 出版日 2020年, 査読付
    研究論文(学術雑誌), 英語
  • A Novel Circuit Combining a Dual-Band Antenna with a RF Diplexer for Concurrent Dual-Band RF Energy Harvesting Applications, Luong Duy Manh ; Phan Thi Bich
    Luong Duy Manh; Phan Thi Bich; Truong Anh Dung; Koichiro Ishibashi
    2020 International Conference on Green and Human Information Technology, 1巻, 出版日 2020年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Short Time Cardio-vascular Pulses Estimation for Dengue Fever Screening via Continuous-Wave Doppler Radar using Empirical Mode Decomposition and Continuous Wavelet Transform
    Ha Luu; Chinh D Nguyen, MSc; Guanghao Sun; Assis. Prof. Ph.D; Anh Q Le, BCs; Huong V Pham, Ph.D; Vu A Tran, Ph.D; Hieu T Tran, Ph.D; Tan D Tran; Assoc.Prof. Ph.D; Trung V Nguyen; Assoc.Prof. Ph.D; Koichiro Ishibashi; Prof. Ph.D; Trung L Nguyen; Assoc.Prof. Ph.D
    Biomedical Signal Processing and Control 2020, 1巻, 出版日 2020年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Novel Circuit Combining a Dual-Band Antenna with a RF Diplexer for Concurrent Dual-Band RF Energy Harvesting Applications
    Luong Duy Manh; Phan Thi Bich; Truong Anh Dung; Koichiro Ishibashi
    2020 International Conference on Green and Human Information Technology (ICGHIT), 1巻, 出版日 2020年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Super steep SS "PN-Body tied SOI-FET" with 65 nm thin Box FD-SOI
    Keita Daimatsu; Jiro Ida; Takuya Yamada; Takayuki Mori; Koichiro Ishibashi
    2019 IEEE International Conference on Integrated Circuits, Technologies and Applications (ICTA) PROCEEDINGS, p117-118, 2019, November 13-15, 2019 Sofitel Chengdu Taihe, Chengdu, Sichuan, China, IEEE, 出版日 2019年11月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Effect of Vsub and Positive Charge in Buried Oxide on Super Steep SS “PN Body-Tied SOI-FET” and Proposal of CMOS without Vsub Bias
    Wataru Yabuki; Jiro Ida; Takayuki Mori; Koichiro Ishibashi; Yasuo Arai
    2019 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), IEEE, 出版日 2019年10月14日
    研究論文(国際会議プロシーディングス)
  • A 2.77 μW Ambient RF Energy Harvesting Using DTMOS Cross-Coupled Rectifier on 65 nm SOTB and Wide Bandwidth System Design
    Thuy-Linh Nguyen; Yasuo Sato; Koichiro Ishibashi
    MDPI Journal Electronics, MDPI, 掲載ページ https://doi.org/10.3390/elect-10, 出版日 2019年09月16日, 査読付
    研究論文(学術雑誌), 英語
  • Precise Heart Rate Measurement Using Non-Contact Doppler Radear Assisted by Machine-Learning-Based Sleep Psture Estimation
    Kotaro Higashi; Guanghao Sun; Koichiro Ishibashi
    1巻, 掲載ページ 1-4, 出版日 2019年07月19日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Non-Contact Blood Pressure Measurement Scheme Using Doppler Readar
    Tomoyuki Ohata; Koichiro Ishibashi; Guanghao Sun
    1巻, 掲載ページ 1-4, 出版日 2019年07月19日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Non-Contact Cardiopulmonary Measuring System using Medical Radar and FPGA
    Cuong V. Nguyena; Truong Le Quanga; Trung Nguyen Vub; Hoi Le Thib; Kinh Nguyen Van; Thanh Han Trong; Tuan Do Trong; Guanghao Sund; Koichiro Ishibashid
    1巻, 掲載ページ 1-4, 出版日 2019年07月19日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Beat Sensors for Smart Environment Monitoring Systems
    Koichiro Ishibashi; Duangchak Manyvone; Miho Itoh, Van-Phuc; Hoang, Van-Lan Dao
    1巻, 掲載ページ 1-4, 出版日 2019年03月21日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Long Battery Life IoT Sensing by Beat Sensors
    Koichiro Ishibashi; Ryohei Takitoge; Duangchak Manyvone; Nobuto Ono; Shigeya Yamaguchi
    1巻, 掲載ページ 1-4, 出版日 2019年03月19日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A non-contact infection screening system using medical radar and Linux-embedded FPGA: Implementation and preliminary validation
    Cuong V. Nguyen; Truong Le Quang; Trung Nguyen Vu; Hoi Le Thi; Kinh Nguyen Van; Thanh Han Trong; Tuan Do Trong; Guanghao Sun; Koichiro Ishibashi
    Informatics in Medicine unloked, 1巻, 掲載ページ 1-4, 出版日 2019年03月16日, 査読付
    研究論文(研究会,シンポジウム資料等), 英語
  • Dengue Fever Screening Using Vital Signs by Contactless Microwave Radar and Machine Learning
    Xiaofeng Yang; Koki Kumugai; Guanghao Sun; Koichiro Ishibashi; Le Thi Hoi; Nguyen Vu Trung; Nguyen Van Kinh
    SAS, 1巻, 掲載ページ 1-4, 出版日 2019年03月11日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • First Experimental Confirmation of Transient Effect on Super Steep SS “PN-Body Tied SOI FET” with Pulse Measurements
    H. Endo; J. Ida; T. Mori; K. Ishibashi; Y. Arai
    sessionSi-Dev2-3巻, 掲載ページ 1-3, 出版日 2019年
    研究論文(国際会議プロシーディングス), 英語
  • First Experimental Confirmation of Ultralow Voltage Rectification by Super Steep Subthreshold Slope “PN-Body Tied SOI-FET” for High Efficiency RF Energy Harvesting and Ultralow Voltage Sensing
    S. Momose; J. Ida; T. Yamada; T. Mori; K. Itoh; K. Ishibashi; Y. Arai
    IEEE SOI-3D-SUBTHRESHOLD MICROELECTRONICS TECHNOLOGY UNIFIED CONFEREN C E, 2018巻, 掲載ページ 10.4, 出版日 2018年10月15日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • "A 375 nA Input Off Current Schmitt Triger LDO for Energy Harvesting IoT Sensors"
    Koichiro Ishibashi; Shiho Takahashi
    2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2018巻, 掲載ページ 187-190, 出版日 2018年07月09日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • 83nJ/bit Transmitter Using Code-Modulated Synchronized-OOK on 65nm SOTB for Normally-Off Wireless Sensor Networks
    Van-Trung NGUYEN; Ryo ISHIKAWA; Koichiro ISHIBASHI
    IEICE Transactions on Electronics, IEICE, 2018巻, E101.C号, 掲載ページ 472-479, 出版日 2018年07月, 査読付
    研究論文(学術雑誌), 英語
  • Diode characteristics of a super-steep subthreshold slope PN-body tied SOI-FET for energy harvesting applications
    Takayuki Mori; Jiro Ida; Shun Momose; Kenji Itoh; Koichiro Ishibashi; Yasuo Arai
    IEEE Journal of the Electron Devices Society, Institute of Electrical and Electronics Engineers Inc., 6巻, vol6号, 掲載ページ 565-570, 出版日 2018年04月06日, 査読付, In this paper, the diode characteristics of our newly proposed super-steep subthreshold slope 'PN-body tied (PNBT) silicon-on-insulator field-effect transistor' are presented, and compared with conventional diodes. We report that the device possesses super-steep characteristics, low leakage current, and sharp turn-on characteristics, even in the ultralow voltage range (50 mV). These indicate that the PNBT diode can potentially be used in high-efficiency rectification for energy harvesting, particularly in situations where there is ultralow input power. In addition, the hysteresis characteristics and the slight shift of the voltage at zero current are confirmed as specific characteristics of PNBT diodes.
    研究論文(学術雑誌), 英語
  • Gate controlled diode characteristics of super steep subthreshold slope PN-body tied SOI-FET for high efficiency RF energy harvesting
    Shun Momose; Jiro Ida; Takayuki Mori; Takahiro Yoshida; Jumpei Iwata; Takashi Horii; Takahiro Furuta; Kenji Itoh; Koichiro Ishibashi; Yasuo Arai
    2017 IEEE SOI-3D-Subthreshold Microelectronics Unified Conference, S3S 2017, Institute of Electrical and Electronics Engineers Inc., 2018-巻, 2017号, 掲載ページ 1-3, 出版日 2018年03月07日, Gate controlled diode (GCD) characteristics with our newly proposed super steep subthreshold slope (SS) 'PN-Body Tied SOI-FET' was shown, for the first time, compared with the conventional diodes. It shows the super steep characteristics, the low leakage current and the sharp On-characteristics even on the ultralow voltage range of 50mV. The simple circuit simulations also indicated that the GCD with 'PN-Body Tied SOI-FET' will achieve the high efficiency rectification on the ultralow input power of the RF energy harvesting. Additionally, the slight shift of the voltage of the zero current was confirmed as a specific characteristics on this GCD.
    研究論文(国際会議プロシーディングス), 英語
  • Implementation of Condition-Aware Receiver-Initiated MAC Protocol to Realize Energy-Harvesting Wireless Sensor Networks,
    Tatsuhiro Kawaguchi; Ryo Tanabe; Ryohei Takitoge; Koichiro Ishibashi; Koji Ishibashi
    IEEE Consumer Communications & Networking Conference, *巻, *号, 出版日 2018年01月12日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Energy-Aware Receiver-Driven Medium Access Control Protocol for Wireless Energy-Harvesting Sensor Networks
    Ryo Tanabe; Tatsuhiro Kawaguchi; Ryohei Takitoge; Koichiro Ishibashi; Koji Ishibashi
    IEEE Consumer Communications & Networking Conference, IEEE Consumer Communications & Networking Conference, *巻, *号, 出版日 2018年01月12日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • PPGとドップラーレーダを用いた収縮期血圧のカフレス連続測定
    大畠 知之; 石橋 孝一郎; 孫 光鎬
    生体医工学, 公益社団法人 日本生体医工学会, Annual56巻, Abstract号, 掲載ページ S92-S92, 出版日 2018年, 近年健康に対する関心が高まるにつれ、連続血圧モニタリングの重要性が高まっている。先行研究ではカフレスの連続血圧推定の方法としてPPT(pulse transit time)を用いた方法が多く研究されている。しかしPTTを取得するためにはPPG (photoplethysmography)やECG(electrocardiogram)を体の多箇所に設置するため、連続測定を行うには不向きである。また、現在体の1点のみで血圧推定を行う連続血圧計は高価であり、普及のためにはローコストであることが求められる。これより本研究ではPPG及びドップラーレーダを用いて体の1箇所のみ、または非接触で連続血圧推定を行う方法を研究した。 我々は心拍1周期の時間と収縮期血圧に関係があると考え、回帰分析を行った。心拍1周期の時間はPPG及びドップラーレーダを用いて取得した。得られた回帰式は個人差を含んでいたため、個人個人の安静時の心拍1周期の時間と収縮期血圧をパラメータとして使用することで、個人差を含まない回帰式を得た。この回帰式を用いて推定した血圧とリファレンスの血圧を比較したところ、共に相関係数0.9と十分高い値が得られた。ドップラーレーダを用いた非接触血圧測定の可能性も得られた。
    日本語
  • Beat sensors IoT technology suitable for energy saving
    Koichiro Ishibashi; Rhohei Takitoge; Shohei Ishigaki
    Proceedings of 2017 7th International Conference on Integrated Circuits, Design, and Verification, ICDV 2017, Institute of Electrical and Electronics Engineers Inc., 2017-巻, 掲載ページ 52-55, 出版日 2017年12月12日, 査読付, We have proposed IoT beat sensors in which wireless TX send only ID codes, and RX receivers the ID codes. The data acquired by the sensors are recovered by the interval time of the ID code in RX. The ID code transmissions are called as Beats so that the sensors are called as beat sensors. Beat sensor realizes low power, small size, and low cost sensors, which are large advantages as IoT sensors. This paper introduces the concept of the Beat Sensors, and describes Power Beat Sensor, DC Current Beat Sensor for use to reduce the electrical energy in Home and Buildings and so on.
    研究論文(国際会議プロシーディングス), 英語
  • LOW-POWER ENHANCED TEMPERATURE BEAT SENSOR WITH LONGER COMMUNICATION DISTANCE BY DATA-RECOVERY ALGORITHM
    Ryohei Takitoge; Masataka Kishi; Koichiro Ishibashi
    IEEE SENSORS2017, IEEE SENSORS 2017巻, 掲載ページ 379-381, 出版日 2017年10月29日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Field evaluation of an infectious disease/fever screening radar system during the 2017 dengue fever outbreak in hanoi, vietnam: a preliminary report
    Guanghao Sun; Nguyen Vu Trung; Takemi Matsui; Koichiro Ishibashi; Tetsuo Kirimoto; Hiroki Furukawa; Le Thi Hoi; Nguyen Nguyen Huyen; Quynh Nguyen; Shigeto Abe; Yukiya Hakozaki
    Journal of Infection, 75/6巻, 掲載ページ 593-595, 出版日 2017年10月24日, 査読付
    研究論文(学術雑誌), 英語
  • A 0.148nJ/conversion 65nm SOTB Temperature Sensor LSI Using ThermistorDefined Current Source
    Shinya Nii; Koichiro Ishibashi
    S3SConference巻, 2017号, 出版日 2017年10月16日
    英語
  • DC Current Beat: Wireless and Non-Invasive DC Current Sensing Scheme
    Koichiro Ishibashi; Makoto Serizawa; Ryohei Takitoge; Shohei Ishigaki; Tsuyoshi Ishige
    MDPI journals, 1巻, 4号, 掲載ページ 567-567, 出版日 2017年09月24日, 査読付, This paper presents a wireless and Non-invasive DC Current (DCC) sensing scheme as an IoT sensors. A RF module transmits only ID codes to a receiver, and the ID transmissions are called as “DCC Beat”. The interval time of DCC Beats depend on the inductance of ferrite clamp which is non-invasively installed at the wire of the DC current to be measured, so that the interval time corresponds to DC Current. The ID data transmission range reaches up to 50 m with 1.2 mW operating power using a 2.4 GHz RF module. DC current from 0.2 to 4 A can be measured within error of 5.7%.
    研究論文(国際会議プロシーディングス), 英語
  • Non-contact acquisition of respiration and heart rates using Doppler radar with time domain peak-detection algorithm
    Xiaofeng Yang; Guanghao Sun; Koichiro Ishibashi
    Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS, Institute of Electrical and Electronics Engineers Inc., 掲載ページ 2847-2850, 出版日 2017年09月13日, 査読付, The non-contact measurement of the respiration rate (RR) and heart rate (HR) using a Doppler radar has attracted more attention in the field of home healthcare monitoring, due to the extremely low burden on patients, unconsciousness and unconstraint. Most of the previous studies have performed the frequency-domain analysis of radar signals to detect the respiration and heartbeat frequency. However, these procedures required long period time (approximately 30 s) windows to obtain a high-resolution spectrum. In this study, we propose a time-domain peak detection algorithm for the fast acquisition of the RR and HR within a breathing cycle (approximately 5 s), including inhalation and exhalation. Signal pre-processing using an analog band-pass filter (BPF) that extracts respiration and heartbeat signals was performed. Thereafter, the HR and RR were calculated using a peak position detection method, which was carried out via LABVIEW. To evaluate the measurement accuracy, we measured the HR and RR of seven subjects in the laboratory. As a reference of HR and RR, the persons wore contact sensors i.e., an electrocardiograph (ECG) and a respiration band. The time domain peak-detection algorithm, based on the Doppler radar, exhibited a significant correlation coefficient of HR of 0.92 and a correlation coefficient of RR of 0.99, between the ECG and respiration band, respectively.
    研究論文(国際会議プロシーディングス), 英語
  • Review of Steep Subthreshold Slope Devices and its possibility for High Efficiency RF Energy Harvesting
    Jiro IDA; Kenji ITOH; Koichiro ISHIBASHI
    VJMW2017巻, 出版日 2017年06月13日, 招待, t The research status of steep subthreshold slope (SS) devices for LSI’s on the Ultra low power IoT systems is reviewed, and our
    newly proposed super steep SS “PN- Body Tied SOI FET” is introduced. The diode technology for RF energy harvesting is also reviewed
    and the possibility of the high efficiency rectification for the ultralow input on the RF energy harvesting are shown with our “PN- Body Tied
    SOI FET”.
    研究論文(国際会議プロシーディングス), 英語
  • Power Beat and Temperature Beat Sensors — Precise, Low Cost, and Energy Harvesting Sensing Scheme for IoT Applications —
    Koichiro ISHIBASHI; Ryohei TAKITOGE; Shohei ISHIGAKI
    VJMW2017巻, 出版日 2017年06月13日, We have proposed Power Beat sensor and Temperature Beat sensor as energy harvesting wireless sensing scheme. This
    paper reviews advantages of the proposed Beat Sensors in terms of accuracy, cost, and power which are inevitable
    characteristics for IoT applications. We show at first time that leakage of electrical appliances can be measured by power beat
    sensors
    研究論文(国際会議プロシーディングス), 英語
  • A 910nW Delta Sigma Modulator using 65nm SOTB Technology for Mixed Signal IC of IoT Applications,
    Ishibashi Koichiro; Kikuchi Junya; Sugii Nobuyuki
    ICICDT2017, Session F巻, 出版日 2017年05月23日, 査読付, 招待
    研究論文(国際会議プロシーディングス), 英語
  • Short time and contactless virus infection screening system with discriminate function using doppler radar
    Xiaofeng Yang; Koichiro Ishibashi; Toshiaki Negishi; Tetsuo Kirimoto; Guanghao Sun
    Communications in Computer and Information Science, Springer Verlag, 791巻, 掲載ページ 263-273, 出版日 2017年, 査読付, Recently, infectious diseases, such as Ebola fever and Middle East respiratory syndrome, have spread worldwide. To conduct a highly accurate infection screening, our group is working on the development of a non-contact and hand-held infection screening system that can detect infected individuals within 5s. In this study, we propose a signal processing method to improve the measurement accuracy of the infection screening system. Body surface temperature, heartbeat, and respiration rates are detected by thermography and microwave radars. To evaluate the measurement accuracy, nine subjects (normal and pseudo-infection conditions) were tested with the proposed system in a laboratory. In this study, a linear discriminate function was used to detect pseudo-infection conditions. The detection accuracy was improved to 88.9%.
    研究論文(国際会議プロシーディングス), 英語
  • A 1.36μW 312-315MHz Synchronized-OOK Receiver for Wireless Sensor Networks Using 65nm SOTB CMOS Technology
    Minh-Thien Hoang; Nobuyuki Sugii; Koichiro Ishibashi
    Elsevier Solid-State Elecronics, PERGAMON-ELSEVIER SCIENCE LTD, 117巻, 掲載ページ 161-169, 出版日 2016年03月, 査読付, The paper presents a receiver design operating at 312-315 MHz frequency band for wireless sensor networks. The proposed architecture uses synchronized on-off-keying (S-OOK) modulation scheme, which includes clock information together with data, providing self-synchronization ability for the receiver without a separate clock and data recovery circuit. In addition, a new technique is also proposed to reduce active time of the RF font-end for better energy efficiency. The receiver architecture is verified by using discrete RF modules and FPGAs, then VLSI design is carried out on 65 nm Silicon-On-Thin-Buried-Oxide (SOTB) CMOS technology and simulated using SPICE models to illustrate effectiveness of the proposed architecture. Post-layout simulation shows -58.5 dBm sensitivity with 1.36 mu W and 8.39 mu W power consumption corresponding to 10 kbps and 100 kbps data rate, respectively. (C) 2015 The Authors. Published by Elsevier Ltd. This is an open access article under the CC BY-NC-ND license.
    研究論文(学術雑誌), 英語
  • Temperature Beat: Persistent and Energy Harvesting Wireless Temperature Sensing Scheme
    Ryohei Takitoge; Shohei Ishigaki; Tsuyoshi Ishige; Koichiro Ishibashi
    2016 IEEE SENSORS, IEEE, 出版日 2016年, 査読付, This paper presents a persistent and energy harvesting wireless temperature sensing scheme. Every time when the voltage is stored in a storage capacitor, the RF module transmits ID code to a receiver. The transmission of only ID code is called as "Temperature Beat", the cycle time of which corresponds to temperature. Time between Temperature Beat represents average temperature, so that it is not an intermittent but a persistent sensing. The size of the sensor node is 50 x 35 x 15mm and the energy for transmitting one Temperature Beat is 0.43mJ. Solar cell with lithium ion battery can be used to achieve energy harvesting operation. In experiments, this system can measure -21 similar to 90 degree C with accuracy of +/- 0.655 degree C with consuming 1.38mJ to transmit one Temperature Beat.
    研究論文(国際会議プロシーディングス), 英語
  • Design of-30dBm Sensitivity and Sub 10nW Wake-up Receiver for Wireless Sensor Networks Using Body Boost on 65nm SOTB Technology
    Tsuyoshi Ishige; Koichiro Ishibashi
    PROCEEDINGS OF THE 2016 INTERNATIONAL CONFERENCE ON ADVANCED TECHNOLOGIES FOR COMMUNICATIONS (ATC), IEEE, 掲載ページ 318-321, 出版日 2016年, 査読付, This paper presents a wake-up receiver (WuRx) for wireless sensor networks, which can wake up devices connected to WuRx when it receives RF signals. The proposed WuRx consists of a LC passive voltage booster at 920 MHz, a voltage multiplier and a Schmitt trigger comparator. In the simulation, the sensitivity is -30 dBm and the power consumption is 6.7 nW of the comparator. The voltage multiplier is designed for 65 nm SOTB (Silicon on Thin Buried Oxide) technology for low voltage operation.
    研究論文(国際会議プロシーディングス), 英語
  • A Small-Size Energy-Harvesting Electric Power Sensor for Implementing Existing Electrical Appliances Into HEMS
    Yuki Tsunoda; Chikara Tsuchiya; Yuji Segawa; Hajime Sawaya; Minoru Hasegawa; Shohei Ishigaki; Koichiro Ishibashi
    IEEE SENSORS JOURNAL, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 16巻, 2号, 掲載ページ 457-463, 出版日 2016年01月, 査読付, This paper presents a small-size electric power sensor that can be installed at an outlet to sense the power of electrical appliances whose plugs are inserted into the outlet. The data for the power consumption of the appliance and the power for the microcontroller unit (MCU) are obtained by an electromagnetic induction method, so that it can be implemented without any electrical work. The sensitivity of the power sensor is 1 W, and the power for the MCU can be generated from an appliance power of 23 W. The sensor itself consumes 3.75 mW. This sensor can be used to measure the power consumption of the existing electrical appliances.
    研究論文(学術雑誌), 英語
  • SOTB technology, which enables perpetually reliable CPU for IoT applications
    Koichiro Ishibashi; Nobuyuki Sugii; Kazutoshi Kobayashi; Tomoaki Koide; Hiroki Nagatomi; Shiro Kamohara
    2015 4th Berkeley Symposium on Energy Efficient Electronic Systems, E3S 2015 - Proceedings, Institute of Electrical and Electronics Engineers Inc., \DOI: 10.1109/E3S巻, 掲載ページ 1-3, 出版日 2015年11月24日, 査読付, Sensors and wearable systems are expanding to make the IoT era. Requirements of the devices to realize the expansion of the systems are low-power LSIs which can operate eternally with energy harvesting power sources. The LSI should operate fast enough to deal with the data, followed by sleep mode to save the energy. The data during sleep mode should be reliably stored for the next intermittent operation.
    研究論文(国際会議プロシーディングス), 英語
  • Designs of Ultra-Low-Power and Ultra-Low-Leakage 65nm-SOTB LSI for IoT Applications
    Koichiro Isibashi
    IEEE S3S Conference 2015, IEEE S3S Conference 2015巻, 出版日 2015年10月05日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Perpetuum Mobile 32bit CPU on 65nm SOTB CMOS Technology with Reverse-Body-Bias Assisted Sleep Mode
    Koichiro Ishibashi; Nobuyuki Sugii; Shiro Kamohara; Kimiyoshi Usami; Hideharu Amano; Kazutoshi Kobayashi; Cong-Kha Pham
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E98C巻, 7号, 掲載ページ 536-543, 出版日 2015年07月, 査読付, A 32bit CPU, which can operate more than 15 years with 220mAH Li battery, or eternally operate with an energy harvester of in-door light is presented. The CPU was fabricated by using 65nm SOTB CMOS technology (Silicon on Thin Buried oxide) where gate length is 60nm and BOX layer thickness is 10nm. The threshold voltage was designed to be as low as 0.19V so that the CPU operates at over threshold region, even at lower supply voltages down to 0.22V. Large reverse body bias up to -2.5V can be applied to bodies of SOTB devices without increasing gate induced drain leak current to reduce the sleep current of the CPU. It operated at 14MHz and 0.35V with the lowest energy of 13.4 pJ/cycle. The sleep current of 0.14 mu A at 0.35V with the body bias voltage of -2.5V was obtained. These characteristics are suitable for such new applications as energy harvesting sensor network systems, and long lasting wearable computers.
    研究論文(学術雑誌), 英語
  • A 27.6 μW 315 MHz low-complexity OOK receiver with on-off RF front-end
    Minh-Thien Hoang; Nobuyuki Sugii; Koichiro Ishibashi
    IEICE Electronics Express, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, 12巻, 7号, 掲載ページ pp. 20150206, 出版日 2015年04月, 査読付, This paper presents a receiver design with the on-off keying (OOK) modulation at 315 MHz frequency. In this design, we propose a new architecture for the receiver to achieve low complexity with a solution to reduce total power consumption significantly. The operation of the proposed architecture is verified using available RF front-end circuits and a field-programmable-gate-array (FPGA) device. Circuit of the receiver is designed by using SPICE models of 65 nm Silicon On Thin Buried Oxide (SOTB) CMOS technology. By simulation, the receiver achieves -76 dBm sensitivity, consumes 27.6 mu W from 1V supply voltage with data rate up to 200 Kbps.
    研究論文(学術雑誌), 英語
  • A Study on Ultra-low Power and High Sensitibity CMOS RF Receiver for Wireless Sensor Networks
    Hoang Minh Thien
    2015巻, 出版日 2015年
    英語
  • Design of a Low-power Fixed-point 16-bit Digital Signal Processor Using 65nm SOTB Process
    Duc-Hung Le; Nobuyuki Sugii; Shiro Kamohara; Xuan-Thuan Nguyen; Koichiro Ishibashi; Cong-Kha Pham
    2015 International Conference on IC Design & Technology (ICICDT), IEEE, IEEE Region 10 ATC 2014巻, 出版日 2015年, 査読付, In this paper, a design of 16-bit fixed-point digital signal processor (DSP) is proposed. This DSP is based on the Harvard architecture, having two buses for ALU and a pipeline multiply accumulator (MAC). It composes of 16 general purpose 24-bit registers together with 41 four-cycle instruction sets. The DSP has a simple structure which is compact and flexible. The DSP is designed for low-power consumption, and implemented on ASIC using SOTB 65nm process which is a kind of SOI devices. The DSP chip consumes very low-power consumption 282 mu W at the operation voltage 0.55V and operation frequency 200MHz.
    研究論文(国際会議プロシーディングス), 英語
  • Design of a Low-power Fixed-point 16-bit Digital Signal Processor Using 65nm SOTB Process
    Duc-Hung Le; Nobuyuki Sugii; Shiro Kamohara; Xuan-Thuan Nguyen; Koichiro Ishibashi; Cong-Kha Pham
    2015 International Conference on IC Design & Technology (ICICDT), IEEE, Design and Technology巻, 出版日 2015年, 査読付, In this paper, a design of 16-bit fixed-point digital signal processor (DSP) is proposed. This DSP is based on the Harvard architecture, having two buses for ALU and a pipeline multiply accumulator (MAC). It composes of 16 general purpose 24-bit registers together with 41 four-cycle instruction sets. The DSP has a simple structure which is compact and flexible. The DSP is designed for low-power consumption, and implemented on ASIC using SOTB 65nm process which is a kind of SOI devices. The DSP chip consumes very low-power consumption 282 mu W at the operation voltage 0.55V and operation frequency 200MHz.
    研究論文(国際会議プロシーディングス), 英語
  • Power Beat: A Low‐cost and Energy Harvesting Wireless Electric Power Sensing Scheme for BEMS
    Shohei Ishigaki; Koichiro Ishibashi
    ICBEST2015, IEEE, ICBEST2015巻, 掲載ページ 28-32, 出版日 2015年, 査読付, This paper presents a wireless power sensing for existing electrical appliances in buildings and houses. A wireless transmitter operates with power harvested from the current flowing in the electrical appliances. Every time when the voltage is stored in a storage capacitor, the RF module transmits ID code to a receiver. The transmission forms Power Beat which includes information of the power of the appliance. The power of the electrical appliance is calculated by the cycle time of the transmission. The transmitter operates when the electrical appliance works, so that total energy of measurement system is low, even when the numbers of the transmitters in a building or houses become large. The transmitter doesn't need power sensing devices, achieving low cost of the system. In experiments, this system can measure appliances power down to 20W with +/- 10% accuracy.
    研究論文(国際会議プロシーディングス), 英語
  • A 400triV 059m. Lowpower CAM-based Pattern Matching System on 65nm SOTB Process
    Duc-Hung Lei; Nobuyuki Sugii; Shiro Kamohara; Hong-Thu Nguyen; Koichiro Ishibashi; Cong-Kha Pham
    TENCON 2015 - 2015 IEEE REGION 10 CONFERENCE, IEEE, TENCON 2015巻, 出版日 2015年, 査読付, A CAA-based matching system for fast exact pattern matching is implemented on AMC, using 65nm SOTB process, for Ver.), low power consumption. I he system has a simple structure, which consists of Content 'Addressable Nlemory (( AM), AND, SHIFT, and an FYI!, does not employ Central Processor Unit ((Pt) as well as complicated algorithms. We take advantage ofCtI which has an ability of parallel multi -match mode for designing the system. The system is applied to fast pattern matching with various required search patterns without using any search principles. In this paper, the system operates at 4006V, power consumption 0.59m%% using SOl B 65nm process.
    研究論文(国際会議プロシーディングス), 英語
  • Low Power Channel Scanning with Contiki's IPv6 Stack for Wireless Sensor Network
    Tran Ngoc Thinh; Tu Nguyen; Bui Van Hiev; Koichiro Ishibashi
    ACOMP2014, ACOMP2014巻, 出版日 2014年11月20日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 0.75V 0.574mW 2.16GHz - 3.2GHz Differential Multipass Ring Oscillator on 65nm SOTB CMOS Technology
    Minh-Thien Hoang; Nobuyuki Sugii; Koichiro Ishibashi
    ICDV 2014, ICDV 2014巻, 出版日 2014年11月14日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Ultralow-power SOTB CMOS technology operating down to 0.4 V
    Nobuyuki Sugii; Yoshiki Yamamoto; Hideki Makiyama; Tomohiro Yamashita; Hidekazu Oda; Shiro Kamohara; Yasuo Yamaguchi; Koichiro Ishibashi; Tomoko Mizutani; Toshiro Hiramoto
    Journal of Low Power Electronics and Applications, MDPI AG, 4巻, 2号, 掲載ページ 65-76, 出版日 2014年04月24日, 査読付, Ultralow-voltage (ULV) CMOS will be a core building block of highly energy efficient electronics. Although the operation at the minimum energy point (MEP) is effective for ULP CMOS circuits, its slow operation speed often means that it is not used in many applications. The silicon-on-thin-buried-oxide (SOTB) CMOS is a strong candidate for the ultralow-power (ULP) electronics because of its small variability and back-bias control. Proper power and performance optimization with adaptive Vth control taking advantage of SOTB's features can achieve the ULP operation with acceptably high speed and low leakage. This paper describes our results on the ULV operation of logic circuits (CPU, SRAM, ring oscillator and other logic circuits) and shows that the operation speed is now sufficiently high for many ULP applications. The "Perpetuum-Mobile" micro-controllers operating down to 0.4 V or lower are expected to be implemented in a huge number of electronic devices in the internet-of-things (IoT) era. © 2014 by the authors
    licensee MDPI, Basel, Switzerland.
    研究論文(学術雑誌), 英語
  • Speed enhancement at Vdd = 0.4 V and random τpd variability reduction and analyisis of τpd variability of silicon on thin buried oxide circuits
    H.Makiyama; Y.Yamamoto; H.Shinohara; T.Iwamatsu; H.Oda; N.Sugii; K.Ishibashi; Y.Yamaguchi
    Jpn. J. Appl. Phys., IOP PUBLISHING LTD, 53巻, 4号, 出版日 2014年04月, 査読付, Ring oscillator characteristics of silicon on thin buried oxide (SOTB) were investigated at V-dd down to 0.4V. It was demonstrated that both the propagation delay (tau(pd)) and energy-delay (ED) product of SOTB were smaller than those of bulk devices due to its steeper subthreshold swing. It was found that the tau(pd) variability of SOTB is dominated by global variability because local variability is small due to the intrinsic channel. The origin of tau(pd) variability was analyzed by taking the transistor dc characteristics into account. It was found that the tau(pd) variability of SOTB is caused by the global drive current variability because the effect of resistance (or effective drain current I-eff) is much larger than that of capacitance with V-dd reduction. The tau(pd) variability is mainly caused by the global drive-current variability and thus can be easily reduced by die-to-die substrate bias voltage control. (C) 2014 The Japan Society of Applied Physics
    研究論文(学術雑誌), 英語
  • Speed enhancement at V
    Makiyama Hideki; Yamamoto Yoshiki; Shinohara Hirofumi; Iwamatsu Toshiaki; Oda Hidekazu; Sugii Nobuyuki; Ishibashi Koichiro; Yamaguchi Yasuo
    Jpn. J. Appl. Phys., Institute of Physics, 53巻, 4号, 掲載ページ 04EC07, 出版日 2014年02月12日
    英語
  • 低電圧・低電力LSI技術の最新動向
    石橋孝一郎
    電子情報通信学会和文論文誌, Vol.J97-C巻, No.1号, 出版日 2014年01月
    研究論文(学術雑誌), 日本語
  • A Perpetuum Mobile 32bit CPU with 13.4pJ/cycle, 0.14μA Sleep Current using Reverse Body Bias Assisted 65nm SOTB CMOS Technology
    Koichiro Ishibashi; Nobuyuki Sugii; Kimiyoshi Usami; Hideharu Amano; Kazutoshi Kobayashi; Cong-Kha Pham; Hideki Makiyama; Yoshiki Yamamoto; Hirofumi Shinohara; Toshiaki Iwamatsu; Yasuo Yamaguchi; Hidekazu Oda; Takumi Hasegawa; Shinobu Okanishi; Hiroshi Yanagita; Shiro Kamohara; Masaru Kadoshima; Keiichi Maekawa; Tomohiro Yamashita; Duc-Hung Le; Takumu Yomogita; Masaru Kudo; Kuniaki Kitamori; Shuya Kondo; Yuuki Manzawa
    Cool Chips XVII, Cool Chips XVII, Cool Chips XVII巻, 出版日 2014年, 査読付, A 32-bit CPU which operates with the lowest energy of 13.4 pJ/cycle at 0.35V and 14MHz, operates at 0.22V to 1.2V and with 0.14 mu A sleep current is demonstrated. The low power performance is attained by Reverse-Body-Bias-Assisted 65nm SOTB CMOS (Silicon On Thin Buried oxide) technology. The CPU can operate more than 100 years with 610mAH Li battery.
    研究論文(国際会議プロシーディングス), 英語
  • Ultralow-Voltage Design and Technology of Silicon-on-Thin-Buried-Oxide (SOTB) CMOS for Highly Energy Efficient Electronics in IoT Era
    Shiro Kamohara; Nobuyuki Sugii; Yoshiki Yamamoto; Hideki Makiyama; Tomohiro Yamashita; Takumi Hasegawa; Shinobu Okanishi; Hiroshi Yanagita; Masaru Kadoshima; Keiichi Maekawa; Hitoshi Mitani; Yasushi Yamagata; Hidekazu Oda; Yasuo Yamaguchi; Koichiro Ishibashi; Hideharu Amano; Kimiyoshi Usami; Kazutoshi Kobayashi; Tomoko Mizutani; Toshiro Hiramoto
    2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, IEEE, 2014 Symposia on VLSI Technolo巻, 出版日 2014年, 査読付, Ultralow-voltage (ULV) operation of CMOS circuits is effective for significantly reducing the power consumption of the circuits. Although operation at the minimum energy point (MEP) is effective, its slow operating speed has been an obstacle. The silicon-on-thin-buried-oxide (SOTB) CMOS is a strong candidate for ultralow-power (ULP) electronics because of its small variability and back-bias control. These advantages of SOTB CMOS enable power and performance optimization with adaptive Vth control at ULV and can achieve ULP operation with acceptably high speed and low leakage. In this paper, we describe our recent results on the ULV operation of the CPU, SRAM, ring oscillator, and, other logic circuits. Our 32-bit RISC CPU chip, named " Perpetuum Mobile," has a record low energy consumption of 13.4 pJ when operating at 0.35 V and 14 MHz. Perpetuum-Mobile micro-controllers are expected to be a core building block in a huge number of electronic devices in the internet-of-things (IoT) era.
    研究論文(国際会議プロシーディングス), 英語
  • A Perpetuum Mobile 32bit CPU on 65nm SOTB CMOS Technology with Reverse-Body-Bias Assisted Sleep Mode
    Shiro Kamohara; Nobuyuki Sugil; Koichiro Ishibashi; Kimiyoshi Usami; Hideharu Amano; Kazutoshi Kobayashi; Cong-Kha Pham
    2014 IEEE HOT CHIPS 26 SYMPOSIUM (HCS), IEEE, Hot Chips 2014巻, 出版日 2014年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 53μW -82dBm Sensitivity 920MHz OOK Receiver Design Using Bias Switch Technique on 65nm SOTB CMOS Technology
    H.M. Thien; N. Sugii; K. Ishibashi
    2014 IEEE S3S Conference, IEEE, 2014 IEEE S3S Conference巻, 出版日 2014年, 査読付, This paper presents an ultra-low power receiver design at 920MHz. We proposed a receiver architecture, in which bias switch technique is applied to reduce power consumption significantly. The receiver was simulated and laid out on 65nm SOTB CMOS technology, consuming only 53uW at 0.6V supply voltage. It achieves a sensitivity of -82dBm with a data rate of 10 - 100 kbps.
    研究論文(国際会議プロシーディングス), 英語
  • A 361nA Thermal Run-away Immune VBB Generator using Dynamic Substrate Controlled Charge Pump for Ultra Low Sleep Current Logic on 65nm SOTB
    Hiroki Nagatomi; Nobuyuki Sugii; Shiro Kamohara; Koichiro Ishibashi
    2014 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), IEEE, 2014 IEEE S3S Conference巻, 出版日 2014年, 査読付, This paper proposed an on-chip low power Body Bias Generator (VBBGEN) for ultra low leakage at 65nm SOTB (Silicon on Thin Buried Oxide) logic circuits at sleep mode. In the results of post layout simulation, the VBBGEN can generate and apply up to -2V body bias at a supply voltage of 0.5V with a current consumption of less than 361nA. By using the VBBGEN, it is expected that sleep current of CPU on SOTB is decreased by more than two orders of magnitude. In addition, the VBBGEN also has a function that prevents thermal run away of SOTB logic circuits.
    研究論文(国際会議プロシーディングス), 英語
  • A Card Size Energy Harvesting Electric Power Sensor for Implementing Existing Electric Appliances into HEMS
    Yuki Tsunoda; Chikara Tsuchiya; Yuji Segawa; Hajime Sawaya; Minoru Hasegawa; Koichiro Ishibashi
    2014 IEEE SENSORS, IEEE, IEEE SENSORS 2014巻, 出版日 2014年, 査読付, This paper presents a card size electric power sensor, which can be installed at the surface of an outlet and senses power of electric appliances whose plug is set to the outlet. The data of the power and the power for MCU is obtained by electromagnetic induction method so that it can be implemented without any work. The sensitivity of the power sensor is 6W, and the power for MCU can be generated from appliances power of 40W. This sensor can be used for measuring the power consumption of existing electric appliances.
    研究論文(国際会議プロシーディングス), 英語
  • Perpetuum-Mobile Sensor Network Systems using a CPU on 65nm SOTB CMOS Technology
    Koichiro Ishibashi; Cong-Kha Pham; Nobuyuki Sugii
    ICDV 2014巻, 出版日 2014年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Perpetuum Mobile 32bit CPU on 65nm SOTB CMOS Technology with Reverse-Body-Bias Assisted Sleep Mode
    Shiro Kamohara; Nobuyuki Sugil; Koichiro Ishibashi; Kimiyoshi Usami; Hideharu Amano; Kazutoshi Kobayashi; Cong-Kha Pham
    2014 IEEE HOT CHIPS 26 SYMPOSIUM (HCS), IEEE, Vol.E98-C巻, No.7号, 掲載ページ 536-543, 出版日 2014年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Card Size Energy Harvesting Electric Power Sensor for Implementing Existing Electric Appliances into HEMS
    Yuki Tsunoda; Chikara Tsuchiya; Yuji Segawa; Hajime Sawaya; Minoru Hasegawa; Koichiro Ishibashi
    2014 IEEE SENSORS, IEEE, Vol.16巻, No. 2号, 掲載ページ 457-463, 出版日 2014年, 査読付, This paper presents a card size electric power sensor, which can be installed at the surface of an outlet and senses power of electric appliances whose plug is set to the outlet. The data of the power and the power for MCU is obtained by electromagnetic induction method so that it can be implemented without any work. The sensitivity of the power sensor is 6W, and the power for MCU can be generated from appliances power of 40W. This sensor can be used for measuring the power consumption of existing electric appliances.
    研究論文(国際会議プロシーディングス), 英語
  • An ultra-low power LNA design using SOTB CMOS devices
    Hoang Minh Thien; Koichiro Ishibashi
    2013 Thailand-Japan Micro Wave 2013, 2013 Thailand-Japan Micro Wave巻, 出版日 2013年12月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 4pA/Gate Sleep Current 65nm SOTB Logic Gates Using On-chip VBB Generator for Energy Harvesting Sensor Network Systems
    Hiroki Nagatomi; Le Duc-Hung; Cong-Kha Pham; Nobuyuki Sugii; Shirou Kamohara; Toshiaki; Iwamatsu; Koichiro Ishibashi
    ICDV 2013, ICDV 2013巻, 出版日 2013年11月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Ultralow-Voltage Operation SOTB Technology toward Energy Efficient Electronics
    N. Sugii; T. Iwamatsu; Yamamoto; H. Makiyama; H. Shinohara; H; Od; S; Kamohara; Y. Yamaguchi; T. Mizutani; K. Ishibashi; T. Hiramoto
    International Solid-State Devices and Materials, International Solid-State Devi巻, 出版日 2013年09月
    研究論文(学術雑誌), 英語
  • Speed Enhancement at Vdd = 0.4 V and Randam τpd Variability Reduction of Silicon on Thin Buried Oxide (SOTB)
    H. Makiyama, Y; Yamamoto; H. Shinohara; T. Iwamatsu; H. Oda, N; Sugii; K. Ishibashi and Y; Yamaguchi
    International Solid-State Devices and Materials, International Solid-State Devi巻, 出版日 2013年09月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • On-Chip Switched Decoupling Capacitor for Fast Voltage Hopping of DVS Systems
    Jinmyoung Kim; Toru Nakura; Koichiro Ishibashi; Makoto Ikeda; Kunihiro Asada
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E96C巻, 4号, 掲載ページ 560-567, 出版日 2013年04月, 査読付, This paper presents a decoupling capacitance boosting method for the resonant supply noise reduction by fast voltage hopping of DVS systems. The proposed method utilizes a foot transistor as a switch between a conventional decoupling capacitor (decap) and GND. The switching controls of the foot transistor depending on the supply noise states achieve an effective noise reduction as well as fast settling time compared with the conventional passive decaps. The measurement results of a test chip fabricated in a 0.18 mu m CMOS technology show 12X boost of effective decap value, and 65.8% supply noise reduction with 96% settling time improvement.
    研究論文(学術雑誌), 英語
  • Continuous Challenges for Ultra-Low Power LSI - Technologies, and Their Impact to ITC Societies
    Koichiro Ishibashi
    IEICE Vietnam Section Lecture Meeting on ICT and Inauguration Ceremony, IEICE Vietnam section, IEICE Vietnam Section Lecture巻, 出版日 2013年03月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Vmin=0.4 V LSIs are the real with Silicon-on-Thin-Buried-Oxide (SOTB) — How is the application with "Perpetuum-Mobile" micro-controller with SOTB?
    N. Sugii; T. Iwamatsu; Y. Yamamoto; H. Makiyama; H. Shinohara; H. Oda; S. Kamohara; Y. Yamaguchi; K. Ishibashi; T. Mizutani; T. Hiramoto
    IEEE S3S Conference 2013, IEEE, IEEE S3S Conference 2013巻, 出版日 2013年, 査読付, Ultralow-voltage (ULV) CMOS will be a core building block of highly energy efficient electronics. Although the near-or sub-Vth operation is effective in reducing energy per operation of CMOS circuits, its slow operation speed can miss a chance to be used in many applications. The silicon-on-thin-buried-oxide (SOTB) CMOS is a strong candidate for the ultra-low-power (ULP) electronics because of its small variability and back-bias control. This paper describes our results on the ULV operation of SRAM and ring oscillator (RO) circuits and shows the operation speed is now sufficiently high for many ULP applications. The "Perpetuum-Mobile" micro-controllers operating at similar to 0.4 V are expected to be implemented in many applications such as the internet of things.
    研究論文(国際会議プロシーディングス), 英語
  • A 44 mu W/10MHz Minimum Power Operation of 50K Logic Gate using 65nm SOTB Devices with Back Gate Control
    Shotaro Morohashi; Nobuyuki Sugii; Toshiaki Iwamatsu; Shiro Kamohara; Yudai Kato; Cong-Kha Pham; Koichiro Ishibashi
    2013 IEEE SOI-3D-SUBTHRESHOLD MICROELECTRONICS TECHNOLOGY UNIFIED CONFERENCE (S3S), IEEE, 2013 SOI-3DI Subthreshold Micr巻, 出版日 2013年, 査読付, Performance, leakage and E-min on 65-nm SOTB and bulk were compared. We evaluated ring oscillators for SOTB and bulk with the same layout pattern. It is shown that operation frequency can be controlled from 6MHz to 40MHz, leakage of sleep mode can be decreased by 3 orders of magnitude on SOTB. By applying adjustable body bias and supply voltage depending on frequency, energy of 50k gates CMOS logic circuit can be minimized to be 4.4pJ/Hz, which corresponds to 44 mu W at 10MHz. Leakage of the logic gates can be reduced at 4.2nA at sleep mode.
    研究論文(国際会議プロシーディングス), 英語
  • Suppression of die-to-die delay variability of silicon on thin buried oxide (SOTB) CMOS circuits by balanced P/N drivability control with back-bias for ultralow-voltage (0.4 V) operation
    H. Makiyama; Y. Yamamoto; H. Shinohara; T. Iwamatsu; H. Oda; N. Sugii; K. Ishibashi; T. Mizutani; T. Hiramoto; Y. Yamaguchi
    Technical Digest - International Electron Devices Meeting, IEDM, 2013 IEDM Technica Program巻, 掲載ページ 33.2.4, 出版日 2013年, 査読付, Small-variability transistors such as silicon on thin buried oxide (SOTB) are effective for reducing the operation voltage (Vdd). In the ultralow-Vdd regime, however, the upsurging delay (τpd) variability is the most important challenge. This paper proposes the balanced n/p drivability control method for reducing the die-to-die delay variation by back bias applicable for various circuits. Excellent variability reduction by this balanced control is demonstrated at Vdd = 0.4 V. © 2013 IEEE.
    研究論文(国際会議プロシーディングス), 英語
  • A Challenge to Perpetuum Computing using SOTB Technology
    Koichiro Ishibashi
    ACOMP 2013, ACOMP, ACOMP 2013巻, 出版日 2013年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Sleep Mode Implementation to ZigBee Router Devices for Wireless Sensor Networks
    Ryouta SHIRONO; VU Trong Thien; Kohichiro ISHIBASHI
    ICDV 2012, ICDV 2012巻, 出版日 2012年08月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • On-Chip Switched Parasitic Capacitors of Sleep Blocks for Resonant Supply Noise Reduction
    Jinmyoung Kim; Toru Nakura; Hidehiro Takata; Koichiro Ishibashi; Makoto Ikeda; Kunihiro Asada
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E95C巻, 4号, 掲載ページ 643-650, 出版日 2012年04月, 査読付, Switched parasitic capacitors of sleep blocks with a tri-mode power gating structure are implemented to reduce on-chip resonant supply noise in 1.2 V, 65 nm standard CMOS process. The tri-mode power gating structure makes it possible to store charge into the parasitic capacitance of the power gated blocks. The proposed method achieves 53.1% and 57.9% noise reduction for wake-up noise and 130 MHz periodic supply noise, respectively. It also realizes noise cancelling without discharging time before using parasitic capacitors of sleep blocks, and shows 8.4x boost of the effective capacitance value with 2.1% chip area overhead. The proposed method can save the chip area for reducing resonant supply noise more effectively.
    研究論文(学術雑誌), 英語
  • An on-chip 250 mA 40 nm CMOS digital LDO using dynamic sampling clock frequency scaling with offset-free TDC-based voltage sensor
    Kazuo Otsuga; Masafumi Onouchi; Yasuto Igarashi; Toyohito Ikeya; Sadayuki Morita; Koichiro Ishibashi; Kazumasa Yanagisawa
    International System on Chip Conference, 25th IEEE International System巻, 掲載ページ 11-14, 出版日 2012年, 査読付, We have developed a fully logic-MOS-transistor designed on-chip digitally controlled LDO in 40 nm CMOS. The proposed TDC-based voltage sensor used as an ADC can reduce the offset error almost to zero. The area of this LDO with no analog circuits is only 0.057 mm<
    sup>
    2<
    /sup>
    . To suppress the AC voltage drop due to large load transient (LLT), we developed a LLT control method using dynamic sampling clock frequency scaling scheme. The measurement results show that the AC voltage drop can be suppressed to 50%. The peak efficiency is 99% at 250 mA. © 2012 IEEE.
    研究論文(国際会議プロシーディングス), 英語
  • On-Chip Resonant Supply Noise Canceller Utilizing Parasitic Capacitance of Sleep Blocks for Power Mode Switch
    Jinmyoung Kim; Toru Nakura; Hidehiro Takata; Koichiro Ishibashi; Makoto Ikeda; Kunihiro Asada
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E94C巻, 4号, 掲載ページ 511-519, 出版日 2011年04月, 査読付, This paper presents an on-chip resonant supply noise canceller utilizing parasitic capacitance of sleep blocks. The test chip was fabricated in a 0.18 mu m CMOS process and measurement results show 43.3% and 12.5% supply noise reduction on the abrupt supply voltage switching and the abrupt wake-up of a sleep block, respectively. The proposed method requires 1.5% area overhead for four 100k-gate blocks, which is 7.1X noise reduction efficient comparing with the conventional decap for the same power supply noise, while achieves 47% improvement of settling time. These results make fast switching of power mode possible for dynamic voltage scaling and power gating.
    研究論文(学術雑誌), 英語
  • Decoupling Capacitance Boosting for On-Chip Resonant Supply Noise Reduction
    Jinmyoung Kim; Toru Nakura; Hidehiro Takata; Koichiro Ishibashi; Makoto Ikeda; Kunihiro Asada
    2011 IEEE 14TH INTERNATIONAL SYMPOSIUM ON DESIGN AND DIAGNOSTICS OF ELECTRONIC CIRCUITS AND SYSTEMS (DDECS), IEEE, 2011 IEEE 14th International S巻, 掲載ページ 111-114, 出版日 2011年, 査読付, This paper presents a decoupling capacitance boosting method for on-chip resonant supply noise reduction for DVS systems. The switching controls of decoupling capacitors depending on the supply noise states achieve an effective noise reduction and fast settling time simultaneously compared with the conventional passive decoupling capacitors. The measurement results of a test chip fabricated in a 0.18 mu m CMOS technology show 12X boost of effective decap value, and 65.8% supply noise reduction with 96% settling time improvement.
    研究論文(国際会議プロシーディングス), 英語
  • On-chip resonant supply noise reduction utilizing switched parasitic capacitors of sleep blocks with tri-mode power gating structure
    Jinmyoung Kim; Toru Nakura; Hidehiro Takata; Koichiro Ishibashi; Makoto Ikeda; Kunihiro Asada
    European Solid-State Circuits Conference, 37th European Solid-State Circ巻, 掲載ページ 183-186, 出版日 2011年, 査読付, Switched parasitic capacitors of sleep blocks with a tri-mode power gating structure are implemented to reduce on-chip resonant supply noise in 65nm CMOS process. The proposed method achieves 46.9% and 57.9% noise reduction for wake-up noise and 130MHz periodic supply noise, respectively. The proposed method also realizes without discharging time before noise cancelling, and shows a 8.4x boost of effective capacitance value with 2.1% chip area overhead. To apply the proposed switched parasitic capacitors of sleep blocks for reducing resonant supply noise, we can save chip area for noise reduction more effectively. © 2011 IEEE.
    研究論文(国際会議プロシーディングス), 英語
  • A 1.39-V input fast-transient-response digital LDO composed of low-voltage MOS transistors in 40-nm CMOS process
    Masafumi Onouchi; Kazuo Otsuga; Yasuto Igarashi; Toyohito Ikeya; Sadayuki Morita; Koichiro Ishibashi; Kazumasa Yanagisawa
    2011 Proceedings of Technical Papers: IEEE Asian Solid-State Circuits Conference 2011, A-SSCC 2011, IEEE A-SSCC 2011巻, 掲載ページ 37-40, 出版日 2011年, 査読付, A fast transient-response digital low-dropout (LDO) voltage regulator comprising only low-voltage MOS transistors was developed. The input voltage can be higher than the withstand voltage of the low-voltage MOS transistors by the proposed withstand-voltage relaxation scheme. The switching frequency of 1 GHz can be achieved using small-dimension low-voltage power-MOS transistors. The LDO occupies only 0.057 mm 2 area using 40-nm CMOS technology, and covers a wide range of load currents from 400 μA to 250 mA. The response time is only 0.07 μs. © 2011 IEEE.
    研究論文(国際会議プロシーディングス), 英語
  • Low Power Technologies and their impact on ITC Societies
    石橋孝一郎
    The 2011 International Conference on Integrated Circuits and Devices in Vietnam, IEICE, IEEE ICDV 2011, The 2011 International Confere巻, 出版日 2011年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Low-Power Wide-Range Clock Synchronizer With Predictive-Delay-Adjustment Scheme for Continuous Voltage Scaling in DVFS
    Masafumi Onouchi; Yusuke Kanno; Makoto Saen; Shigenobu Komatsu; Yoshihiko Yasu; Koichiro Ishibashi
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 45巻, 11号, 掲載ページ 2312-2320, 出版日 2010年11月, 査読付, A wide-range voltage-and-frequency clock synchronizer (WRCS) for maintaining synchronization during dynamic voltage-and-frequency scaling was developed. The key feature of the WRCS is short-range skew measurement based on a predictive- delay-adjustment (PDA) scheme. The short-range skew measurement results in reduction of the area of the WRCS by 77%, that is, the area of the fabricated WRCS in a 40-nm CMOS process is only 5.65 x 10(-3) mm(2). In the case of large voltage variation (0.8-1.55 V) and wide frequency range (100 MHz-1 GHz), measured skew is suppressed to the lowest percentage yet reported, namely, less than 6.8% of clock period. Moreover, current consumption of the WRCS is only 0.48 mA under 1.1-V 100-MHz operation.
    研究論文(学術雑誌), 英語
  • Resonant Supply Noise Canceller utilizing Parasitic Capacitance of Sleep Blocks
    Jinmyoung Kim; Toru Nakura; Hidehiro Takata; Koichiro Ishibashi; Makoto Ikeda; Kunihiro Asada
    2010 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, IEEE, VLSI Circuits symposium 2010巻, 掲載ページ 119-+, 出版日 2010年, 査読付, This paper proposes a resonant supply noise canceller utilizing parasitic capacitance of sleep blocks. It has small area penalty because we use sleep blocks for noise cancelling. Measurement results show that the test chip fabricated in a 0.18 mu m CMOS process achieved 43.3% and 12.5% supply noise reduction on the abrupt supply voltage switching and the abrupt wake-up of a sleep block, respectively. These results make fast switching of power mode possible for dynamic voltage scaling and power gating.
    研究論文(国際会議プロシーディングス), 英語
  • LSI industry requirement to SOI for mobile applications
    K. Ishibashi
    the 3rd FDSOI Workshop, the 3rd FDSOI Workshop巻, 出版日 2010年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A Low-Power Wide-Range Clock Synchronizer with Predictive-Delay-Adjustment Scheme for Continuous Voltage Scaling in DVFS Control
    Masafumi Onouchi; Yusuke Kanno; Makoto Saen; Shigenobu Komatsu; Yoshihiko Yasu; Koichiro Ishibashi
    2009 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC), IEEE, A-SSCC 2009巻, 掲載ページ 85-88, 出版日 2009年, 査読付, A "wide-range voltage-and-frequency clock synchronizer" (WRCS) for maintaining synchronization during voltage-scaling transition during dynamic voltage-and-frequency scaling (DVFS) was developed. The key feature of the WRCS is so-called predictive-delay-adjustment (PDA) scheme based on a relative skew measure. The PDA scheme reduces the area of the WRCS by 77%. The area of the fabricated WRCS in a 40-nm CMOS is only 5.65x10(-3) mm(2). It was demonstrated for the first time that measured jitter is suppressed to less than 6.8% of clock period in the case of wide-range voltage variation (0.8 - 1.55 V) and wide frequency range (100 MHz - 1 GHz). Moreover, current dissipation of the WRCS is only 0.48 mA at 1.1-V 100-MHz operation.
    研究論文(国際会議プロシーディングス), 英語
  • Dynamic voltage boost (DVB) method for improving power integrity of low-power multi-processor SoCs
    Yusuke Kanno; Kenichi Yoshizumi; Yoshihiko Yasu; Koichiro Ishibashi; Hiroyuki Mizuno
    2008 IEEE SYMPOSIUM ON VLSI CIRCUITS, IEEE, 掲載ページ 115-+, 出版日 2008年, 査読付, We propose a dynamic voltage boosting (DVB) method for improving performance by slightly boosting voltage within a withstand voltage. We measured an improvement of 44% voltage drop with about 10% area overhead in a 65 nm CMOS. This DVB; method combined with a series power gating can be used to achieve high performance for low-cost low-power SoCs in advanced process technology.
    研究論文(国際会議プロシーディングス), 英語
  • A 65 nm embedded SRAM with wafer level burn-in mode, leak-bit redundancy and Cu E-trim fuse for known good die
    Shigeki Ohbayashi; Makoto Yabuuchi; Kazushi Kono; Yuji Oda; Susumu Imaoka; Keiichi Usui; Toshiaki Yonezu; Takeshi Iwamoto; Koji Nii; Yasumasa Tsukamoto; Masashi Arakawa; Takahiro Uchida; Masakazu Okada; Atsushi Ishii; Tsutomu Yoshihara; Hiroshi Makino; Koichiro Ishibashi; Hirofumi Shinohara
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 43巻, 1号, 掲載ページ 96-108, 出版日 2008年01月, 査読付, We propose a wafer level burn-in (WLBI) mode, a leak-bit redundancy and a small, highly reliable Cu E-trim fuse repair for an embedded 6T-SRAM to achieve a known good die (KGD) SoC. We fabricated a 16 Mb SRAM with these techniques using 65 nm LSTP technology, and confirmed the efficient operations of these techniques. The WLBI mode enables simultaneous write operation for 6T-SRAM, and has no area penalty and a speed penalty of only 50 ps. The leak-bit redundancy for 6T-SRAM can reduce the infant mortality of the bare die, and improves the standby current distribution. The area penalty is less than 2%. The Cu E-trim fuse can be used beyond the 45 nm advanced process technology. The fuse requires no additional wafer process steps. Using only 1.2 V core transistors will allow CMOS technology scaling to enable fuse circuit size reduction. The trimming transistor is placed under the fuse due to there being no cracking around the trimmed position. We achieve the small fuse circuit size of 6 x 36 mu m(2) using 65 nm technology.
    研究論文(学術雑誌), 英語
  • Dynamic voltage boost (DVB) method for improving power integrity of low-power multi-processor SoCs
    Yusuke Kanno; Kenichi Yoshizumi; Yoshihiko Yasu; Koichiro Ishibashi; Hiroyuki Mizuno
    2008 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, IEEE, VLSI Circuit Symposium巻, 掲載ページ 148-+, 出版日 2008年, 査読付, We propose a dynamic voltage boosting (DVB) method for improving performance by slightly boosting voltage within a withstand voltage. We measured an improvement of 44% voltage drop with about 10% area overhead in a 65 nm CMOS. This DVB method combined with a series power gating can be used to achieve high performance for low-cost low-power SoCs in advanced process technology.
    研究論文(国際会議プロシーディングス), 英語
  • Hot-CarrierAC Lifetime Enhancement due to Wire Resistance Effect (WRE) in 45nm CMOS Circuits
    N. Mizuguchi; K. Takeuchi; H. Tobe; P. Lee; K. Ishibashi
    SSDM2008, SSDM2008巻, 出版日 2008年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Adaptive Design of SRAM Memory Cells
    K. Ishibashi
    International Electron Devices Meeting, IEEE IEDM 2007, Special Evening Session, International Electron Devices巻, 出版日 2007年12月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits
    Shigeki Ohbayashi; Makoto Yabuuchi; Koji Nii; Yasumasa Tsukamoto; Susumu Imaoka; Yuji Oda; Tsutomu Yoshihara; Motoshige Igarashi; Masahiko Takeuchi; Hiroshi Kawashima; Yasuo Yamaguchi; Kazuhiro Tsukamoto; Masahide Inuishi; Hiroshi Makino; Koichiro Ishibashi; Hirofumi Shinobara
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 42巻, 4号, 掲載ページ 820-829, 出版日 2007年04月, 査読付, In the sub-100-nm CMOS generation, a large local Vth variability degrades the 6T-SRAM cell stability, so that we have to consider this local variability as well as the global variability to achieve high-yield SRAM products. Therefore, we need to employ some assist circuits to expand the SRAM operating margin. We propose a variability-tolerant 6T-SRAM cell layout and new circuit techniques to improve both the read and the write operating margins in the presence of a large Vth variability. By applying these circuit techniques to a 0.494-mu m(2) SRAM cell with a beta ratio of 1, which is an extremely small cell size, we can achieve a high-yield 8M-SRAM for a wide range of Vth values using a 65-nm low stand-by power (LSTP) CMOS technology.
    研究論文(学術雑誌), 英語
  • Substrate-noise and random-variability reduction with self-adjusted forward body bias
    Yoshihide Komatsu; Koichiro Ishibashi; Makoto Nagata
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E90C巻, 4号, 掲載ページ 692-698, 出版日 2007年04月, 査読付, This paper describes a method of reducing substrate noise and random variability utilizing a self-adjusted forward body bias (SA-FBB) circuit. To achieve this, we designed a test chip (130 nm CMOS 3-well) that contained an on-chip oscilloscope for detecting dynamic noise from various frequency noise sources, and another test chip (90 nm CMOS 2-well) that contained 10-M transistors for measuring random variability tendencies. Under SA-FBB conditions, it reduced noise by 35.3-69.8% and reduced random variability sigma(I-ds) by 23.2-57.9%.
    研究論文(学術雑誌), 英語
  • A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits
    Shigeki Ohbayashi; Makoto Yabuuchi; Koji Nii; Yasumasa Tsukamoto; Susumu Imaoka; Yuji Oda; Tsutomu Yoshihara; Motoshige Igarashi; Masahiko Takeuchi; Hiroshi Kawashima; Yasuo Yamaguchi; Kazuhiro Tsukamoto; Masahide Inuishi; Hiroshi Makino; Koichiro Ishibashi; Hirofumi Shinobara
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 42巻, 4号, 掲載ページ 820-829, 出版日 2007年04月, 査読付, In the sub-100-nm CMOS generation, a large local Vth variability degrades the 6T-SRAM cell stability, so that we have to consider this local variability as well as the global variability to achieve high-yield SRAM products. Therefore, we need to employ some assist circuits to expand the SRAM operating margin. We propose a variability-tolerant 6T-SRAM cell layout and new circuit techniques to improve both the read and the write operating margins in the presence of a large Vth variability. By applying these circuit techniques to a 0.494-mu m(2) SRAM cell with a beta ratio of 1, which is an extremely small cell size, we can achieve a high-yield 8M-SRAM for a wide range of Vth values using a 65-nm low stand-by power (LSTP) CMOS technology.
    研究論文(学術雑誌), 英語
  • Substrate-noise and random-variability reduction with self-adjusted forward body bias
    Yoshihide Komatsu; Koichiro Ishibashi; Makoto Nagata
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E90C巻, 4号, 掲載ページ 692-698, 出版日 2007年04月, 査読付, This paper describes a method of reducing substrate noise and random variability utilizing a self-adjusted forward body bias (SA-FBB) circuit. To achieve this, we designed a test chip (130 nm CMOS 3-well) that contained an on-chip oscilloscope for detecting dynamic noise from various frequency noise sources, and another test chip (90 nm CMOS 2-well) that contained 10-M transistors for measuring random variability tendencies. Under SA-FBB conditions, it reduced noise by 35.3-69.8% and reduced random variability sigma(I-ds) by 23.2-57.9%.
    研究論文(学術雑誌), 英語
  • Adaptive body bias techniques for low power SOC
    K. Ishibashi
    International Solid-State Circuits Conference, IEEE ISSCC 2007 Microprocessor Forum, International Solid-State Circ巻, 出版日 2007年02月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 65nm embedded SRAM with wafer-level burn-in mode, leak-bit redundancy and E-trim fuse for known good die
    Shigeki Ohbayashi; Makoto Yabuuchi; Kazushi Kono; Yuji Oda; Susumu Imaoka; Keiichi Usui; Toshiaki Yonezu; Takeshi Iwamoto; Koji Nii; Yasumasa Tsukamoto; Masashi Arakawa; Takahiro Uchida; Masakazu Qkada; Atsushi Ishii; Hiroshi Makino; Koichiro Ishibashi; Hirofumi Shinohara
    Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 掲載ページ 485-617, 出版日 2007年, A wafer-level burn-in (WLBI) mode, a leak-bit redundancy and a small, highly reliable electrically trimmable (e-trim) fuse repair scheme for an embedded 6T-SRAM is used to achieve a known-good-die SoC. A 16Mb SRAM is fabricated with these techniques using a 65nm low-standby-power technology, and its operation is verified. The WLBI mode has a speed penalty of 50ps. The leak-bit redundancy area penalty is less than 2%. © 2007 IEEE.
    研究論文(国際会議プロシーディングス), 英語
  • An adaptive design of SRAM memory cell
    Koichiro Ishibashi
    Technical Digest - International Electron Devices Meeting, IEDM, IEEE IEDM 2007, Special Evening Session, International Electron Devices巻, 掲載ページ 646, 出版日 2007年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 1.92μs-Wake-Up Time Thick-Gate-Oxide Power Switch Technique for Ultra Low-Power Single- Chip Mobile Processors
    K. Fukuoka; O. Ozawa; R. Mori; Y. Igarashi; T. Sasaki; T. Kuraishi; Y. Yasu; K. Ishibashi
    VLSI Circuit Symposium 2007, JAPAN SOCIETY APPLIED PHYSICS, VLSI Circuit Symposium 2007巻, 掲載ページ 128-129, 出版日 2007年, 査読付, A technique for controlling rush cur-rent and wake-up time of thick-gate-oxide power switches is described. Suppressing the variation of rush current on PVT allows shorter wake-up times, which can reduce leakage currents in a mobile processor. Wake-up takes 1.92 mu s and leakage current is reduced by 96.9% in an application CPU domain. Probing g the rush current indicated accurate control by the technique.
    研究論文(国際会議プロシーディングス), 英語
  • Adaptive body bias techniques for low power SOC
    K. Ishibashi
    Microprocessor Forum, Microprocessor Forum巻, 出版日 2007年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Adaptive body bias techniques for low power SOC
    K. Ishibashi
    " in the special evening session” Chip Breakthroughs and Address Circuit/Device Interactions, " in the special evening sessi巻, 出版日 2007年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • PTI-ABC SOIを用いた低消費電力SOC設計 : ボディバイアスコントロールによるばらつきを抑えた低消費電力回路設計
    小澤 治; 福岡 一樹; 五十嵐 康人; 倉石 孝; 安 義彦; 牧 幸生; 一法師 隆志; 落合 俊彦; 白畑 正芳; 石橋 孝一郎
    映像情報メディア学会技術報告, 映像情報メディア学会, 30巻, 65号, 掲載ページ 115-119, 出版日 2006年12月14日, 査読付
    研究論文(国際会議プロシーディングス), 日本語
  • Low-voltage and low-power logic, memory, and analog circuit techniques for SoCs using 90 nm technology and beyond
    K Ishibashi; T Fujimoto; T Yamashita; H Okada; Y Arima; Y Hashimoto; K Sakata; Minematsu, I; Y Itoh; H Toda; M Ichihashi; Y Komatsu; M Hagiwara; T Tsukada
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E89C巻, 3号, 掲載ページ 250-262, 出版日 2006年03月, 査読付, Circuit techniques for realizing low-voltage and low-power SoCs for 90-nm CMOS technology and beyond are described. A proposed SAFBB (self-adjusted forward body bias techniques), ATC (Asymmetric Three transistor Cell) DRAM, and ADC using an offset canceling comparator deal with leakage and variability issues for these technologies. A 32-bit adder using SAFBB attained 353-pA at 400-MHz operation at 0.5-V supply voltage, and 1 Mb memory array using ATC DRAM cells achieved 1.5 mA at 50 MHz, 0.5 V. The 4-bit ADC attained 2 Gsample/s operation at a supply voltage of 0.9 V.
    研究論文(学術雑誌), 英語
  • Soft error hardened latch scheme with forward body bias in a 90-nm technology and beyond
    Y Komatsu; Y Arima; K Ishibashi
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E89C巻, 3号, 掲載ページ 384-391, 出版日 2006年03月, 査読付, This paper describes a soft error hardened latch (SEH-Latch) scheme that has an error correction function in the fine process. The storage node of the latch is separated into three electrodes and a soft error on one node is collected by the other two nodes despite the large amount and long-lasting influx of radiation-induced charges. To achieve this, we designed two types of SEH-Latch circuits and a standard latch circuit using 130-nm 2-well, 3-well, and also 90-nm 2-well CMOS processes. The proposed circuit demonstrated immunity that was two orders higher through an irradiation test using alpha-particles, and immunity that was one order higher through neutron irradiation. We also demonstrated forward body bias control, which improves alpha-ray immunity by 26% for a standard latch and achieves 44 times improvement in the proposed latch.
    研究論文(学術雑誌), 英語
  • Soft error hardened latch scheme with forward body bias in a 90-nm technology and beyond
    Y Komatsu; Y Arima; K Ishibashi
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E89C巻, 3号, 掲載ページ 384-391, 出版日 2006年03月, 査読付, This paper describes a soft error hardened latch (SEH-Latch) scheme that has an error correction function in the fine process. The storage node of the latch is separated into three electrodes and a soft error on one node is collected by the other two nodes despite the large amount and long-lasting influx of radiation-induced charges. To achieve this, we designed two types of SEH-Latch circuits and a standard latch circuit using 130-nm 2-well, 3-well, and also 90-nm 2-well CMOS processes. The proposed circuit demonstrated immunity that was two orders higher through an irradiation test using alpha-particles, and immunity that was one order higher through neutron irradiation. We also demonstrated forward body bias control, which improves alpha-ray immunity by 26% for a standard latch and achieves 44 times improvement in the proposed latch.
    研究論文(学術雑誌), 英語
  • Circuit technologies for reducing the power of SOC and issues on transistor models
    Koichiro Ishibashi; Shigeki Ohbayashi; Katsumi Eikyu; Motoaki Tanizawa; Yasumasa Tsukamoto; Kenichi Osada; Masayuki Miyazaki; Masanao Yamaoka
    2006 INTERNATIONAL ELECTRON DEVICES MEETING, VOLS 1 AND 2, IEEE, International Electron Devices巻, 掲載ページ 882-+, 出版日 2006年, 査読付, The obstacles for low power SOC are leakage and variability of MOS transistors. Many circuit techniques have been proposed to tackle these issues. An adaptive body bias technique for logics and a source line voltage control technique for memories are inevitable techniques. Precise analysis of timing for logics and electrical stability for memories are keys to optimizing low voltage operations and they need precise Spice models that handle the variability.
    研究論文(国際会議プロシーディングス), 英語
  • A 65nm SoC Embedded 6T-SRAM Design for Manufacturing with Read and Write Cell Stabilizing Circuits
    S. Ohbayashi; M. Yabuuchi; K. Nii; Y. Tsukamoto; S. Imaoka; Y. Oda; M.Igarashi; M. Takeuchi; H. Kawashima; H. Makino; Y. Yamaguchi; K. Tsukamoto; M. Inuishi; H. Makino; K. Ishibashi; H. Shinohara
    VLSI Circuit Symposimu 2006, VLSI Circuit Symposimu 2006巻, 出版日 2006年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 65nm Ultra-High-Density Dual-port SRAM with 0.71um2 8T-cell for SoC
    K. Nii; Y. Masuda; M. Yabuuchi; Y. Tsukamoto; S. Ohbayashi; S. Imaoka; M. Igarashi; K. Tomita; N. Tsuboi; H. Makino; K. Ishibashi; H. Shinohara
    VLSI Circuit Symposium 2006, VLSI Circuit Symposium 2006巻, 出版日 2006年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Worst-case analysis to obtain stable read/write DC margin of high density 6T-SRAM-array with local Vth variability
    Yasumasa Tsukamoto; Koji Nii; Susumu Imaoka; Yuji Oda; Shigeki Ohbayashi; Tomoaki Yoshizawa; Hiroshi Makino; Koichiro Ishibashi; Hirofumi Shinohara
    IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2005巻, 掲載ページ 398-405, 出版日 2005年, 6T-SRAM cells in the sub-100 nm CMOS generation are now being exposed to a fatal risk that originates from large local Vth variability (σ V_Local). To achieve high-yield SRAM arrays in presence of random σV_Local component, we propose worst-case analysis that determines the boundary of the stable Vth region for the SRAM read/write DC margin (Vth curve). Applying this to our original 65 nm SPICE model, we demonstrate typical behavior of the Vth curve and show new criteria for discussing SRAM array stability with Vth variability. © 2005 IEEE.
    研究論文(国際会議プロシーディングス), 英語
  • 0.5V asymmetric three-Tr. cell (ATC) DRAM using 90nm generic CMOS logic process
    M Ichihashi; H Toda; Y Itoh; K Ishibashi
    2005 Symposium on VLSI Circuits, Digest of Technical Papers, JAPAN SOCIETY APPLIED PHYSICS, Symp. VLSI Circuits 2005巻, 掲載ページ 366-369, 出版日 2005年, 査読付, Asymmetric three-Tr. cell (ATC) DRAM which has one P-and two N-MOS transistors for one unit cell is proposed with "forced feedback sense amplifier" and "write echo refresh". Memory array of ATC DRAM operates at 0.5V and use only logic process with no additional process. A test chip on 90nm technology dissipates 180 mu A in refresh current at 1 mu s cycle refresh on 1 Mb with SG mode.
    研究論文(国際会議プロシーディングス), 英語
  • Worst-case analysis to obtain stable read/write DC margin of high density 6T-SRAM-array with local Vth variability
    Y Tsukamoto; K Nii; S Imaoka; Y Oda; S Ohbayashi; T Yoshizawa; H Makino; K Ishibashi; H Shinohara
    ICCAD-2005: INTERNATIONAL CONFERENCE ON COMPUTER AIDED DESIGN, DIGEST OF TECHNICAL PAPERS, IEEE, ICCAD 2005巻, 掲載ページ 398-405, 出版日 2005年, 査読付, 6T-SRAM cells in the sub-100 nm CMOS generation are now being exposed to a fatal risk that originates from large local Vth variability (sigma v_(Local)) To achieve high-yield SRAM arrays in presence of random sigma v-(Local) component, we propose worst-case analysis that determines the boundary of the stable Vth region for the SRAM read/write DC margin (Vth curve). Applying this to our original 65 nm SPICE model, we demonstrate typical behavior of the Vth curve and show new criteria for discussing SRAM array stability with Vth variability.
    研究論文(国際会議プロシーディングス), 英語
  • 0.4-v logic-library-friendly SRAM array using rectangular-diffusion cell and delta-boosted-array voltage scheme
    M Yamaoka; K Osada; K Ishibashi
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 39巻, 6号, 掲載ページ 934-940, 出版日 2004年06月, 査読付, We designed a, logic-library-friendly SRAM array. The array uses rectangular-diffusion cell (RD cell) and delta-boosted-array-voltage scheme (DBA scheme). In the RD cell, the cell ratio is 1.0, and it reduces the imbalance of the cell ratio. A low supply voltage deteriorates the static noise margin, however, the DBA scheme compensates it. Using the combination of RD cell and DBA scheme, a 32-kB test chip achieves 0.4-V operation at 4.5-MHz frequency, 140-muW power dissipation, and 0.9-muA standby current.
    研究論文(学術雑誌), 英語
  • 3-d device modeling for SRAM soft-error immunity and tolerance analysis
    K Yamaguchi; Y Takemura; K Osada; K Ishibashi; Y Saito
    IEEE TRANSACTIONS ON ELECTRON DEVICES, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 51巻, 3号, 掲載ページ 378-388, 出版日 2004年03月, 査読付, Soft-error tolerance of static random-access memory (SRAM) devices has been predicted by using three-dimensional (3-D) and time-dependent device simulation in conjunction with circuit simulation. An inverter model developed for 3-D device simulation is described, along with the analysis of the inverters device response as a function of time. The output thus obtained was applied as an input voltage source in circuit simulation of unit SRAM cell and the stability of this bistable circuit is studied on that basis. The effects on soft-error immunity of changes in alpha-particle injection conditions and in load resistance and capacitance are described.
    The validity of the presented model is examined through comparison of the bit-error-rate dependence on incident angle of alpha particles to that of measured rates. To simulate the angular dependence, we introduce statistical distribution models for alpha-particle energy, position of incidence on the device surface, and angle of incident. Results of device/circuit simulation carried out with many sets of energy, position, and angle are presented. Reasonable agreement between results of simulation and experimental data without the use of adjustment parameters is demonstrated.
    A map of soft-error tolerance on the CR plane with critical charge Q(c) as a parameter is presented and its derivation explained. An analytic expression for the tolerance is clarified by proposing an equivalent circuit model for the simulation of alpha-particle injection at the output node in an inverter circuit. Inverter modeling is shown to be essential to obtaining SRAM soft-error tolerance to high degrees of accuracy.
    研究論文(学術雑誌), 英語
  • Low Power Technology Development at STARC
    Koichiro Ishibashi
    The Second International Workshop on Nanoelectronics for Terra-bit Information Processing, The Second International Works巻, 出版日 2004年01月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Cosmic-ray immune latch circuit for 90nm technology and beyond
    Y Arima; T Yamashita; Y Komatsu; T Fujimoto; K Ishibashi
    2004 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE, DIGEST OF TECHNICAL PAPERS, IEEE, 47巻, 掲載ページ 492-493, 出版日 2004年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • CPU消費電力削減のための周波数-電圧協調型電力制御方式の設計ルールとフィードバック予測方式による適用
    十山圭介; 三坂智; 相坂一夫; 在塚俊之; 内山邦男; 石橋孝一郎; 川口博; 桜井貴康
    電子情報通信学会論文誌 D-I, Vol.J87-D-I巻, No.4号, 掲載ページ pp.452-461, 出版日 2004年, 査読付
    研究論文(学術雑誌), 日本語
  • A soft-error hardened latch scheme for SoC in a 90nm technology and beyond
    Y Komatsu; Y Arima; T Fujimoto; T Yamashita; K Ishibashi
    PROCEEDINGS OF THE IEEE 2004 CUSTOM INTEGRATED CIRCUITS CONFERENCE, IEEE, 2004 IEEE Custom Integrated Ci巻, 掲載ページ 329-332, 出版日 2004年, 査読付, In this paper, we proposed a soft-error hardened latch (SEH-Latch) scheme that has an error correction function in the fine process. To achieve this, we designed two types of SEH-Latch circuits and a standard latch circuit using 130nm 2-well, and also 90nm 2-well CMOS processes. The proposed circuit demonstrated 2-order higher immunity through a radiation test using alpha-particles, and 1-order higher immunity through neutron irradiation.
    研究論文(国際会議プロシーディングス), 英語
  • An on-chip active decoupling circuit to suppress crosstalk in deep sub-micron CMOS mixed-signal SoCs
    T Tsukada; Y Hashimoto; K Sakata; H Okada; K Ishibashi
    2004 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE, DIGEST OF TECHNICAL PAPERS, IEEE, 47巻, 掲載ページ 160-161, 出版日 2004年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • An on-chip active decoupling circuit to suppress crosstalk in deep sub-micron CMOS mixed-signal SoCs
    T Tsukada; Y Hashimoto; K Sakata; H Okada; K Ishibashi
    2004 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE, DIGEST OF TECHNICAL PAPERS, IEEE, 47巻, 掲載ページ 160-161, 出版日 2004年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Low power SoC project at STARC: low voltage and high speed digital and analog circuits
    K. Ishibashi
    Seminar @IMEC, Seminar @IMEC巻, 出版日 2003年11月07日, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • 16.7-fA/cell tunnel-leakage-suppressed 16-Mb SRAM for handling cosmic-ray-induced multierrors
    K Osada; Y Saitoh; E Ibe; K Ishibashi
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 38巻, 11号, 掲載ページ 1952-1957, 出版日 2003年11月, 査読付, Tunnl-leakage currents become the dominant form of leakage as MOS technology advances. An electric-field-relaxation scheme that suppresses these currents is described. Cosmic-ray-induced multierrors have now become a serious problem at sea level. An alternate error checking and correction architecture for the handling of such errors is also described, along with the application of both schemes in an ultralow-power 16-Mb SRAM. A test chip fabricated by using 0.13-mum CMOS technology showed per-cell standby-current values of 16.7 fA at 25 degreesC and 101.7 fA at 90 degreesC. The chip provided a 99.5% reduction in soft errors under accelerated neutron-exposure testing.
    研究論文(学術雑誌), 英語
  • 16.7-fA/cell tunnel-leakage-suppressed 16-Mb SRAM for handling cosmic-ray-induced multierrors
    K Osada; Y Saitoh; E Ibe; K Ishibashi
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 38巻, 11号, 掲載ページ 1952-1957, 出版日 2003年11月, 査読付, Tunnl-leakage currents become the dominant form of leakage as MOS technology advances. An electric-field-relaxation scheme that suppresses these currents is described. Cosmic-ray-induced multierrors have now become a serious problem at sea level. An alternate error checking and correction architecture for the handling of such errors is also described, along with the application of both schemes in an ultralow-power 16-Mb SRAM. A test chip fabricated by using 0.13-mum CMOS technology showed per-cell standby-current values of 16.7 fA at 25 degreesC and 101.7 fA at 90 degreesC. The chip provided a 99.5% reduction in soft errors under accelerated neutron-exposure testing.
    研究論文(学術雑誌), 英語
  • Failure analysis of 6T SRAM on low-voltage and high-frequency operation
    S Ikeda; Y Yoshida; K Ishibashi; Y Mitsui
    IEEE TRANSACTIONS ON ELECTRON DEVICES, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 50巻, 5号, 掲載ページ 1270-1276, 出版日 2003年05月, 査読付, Careful analysis of SRAM bit failure at high-frequency operation has been described. Using the nanoprober technique, MOS characteristics of failure bit in actual memory cells had been measured directly. It was confirmed that the drain current of a PMOS was about one order in magnitude smaller and the threshold voltage was about 1 V higher than that for normal bits.. A newly developed, unique selective etching technique using hydrazine mixture showed these degradations were caused by local gate depletion, and TEM observation showed the PMOS gate poly-Si of the failure bit had a huge grain. Minimizing grain size of the gate poly-Si is found to be quite effective for improving drain current degradation and suppressing this failure mode.
    研究論文(学術雑誌), 英語
  • Threshold voltage-related soft error degradation in a TFT SRAM cell
    S Ikeda; Y Yoshida; S Kamohara; K Imato; K Ishibashi; K Takahashi
    IEEE TRANSACTIONS ON ELECTRON DEVICES, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 50巻, 2号, 掲載ページ 391-396, 出版日 2003年02月, 査読付, This is the first report of abnormal behavior in the soft error rate (SER). dependence on supply voltage (Vcc) for a bottom-gated polysilicon PMOS thin-film transistor (TFT) static random access memory (SRAM). We found that the TFT SER does not continuously improve (as is expected and desirable) with increasing Vcc when We exceeds -Vth(threshold voltage) of the TFT within a range of about 0-2 K This was confirmed with samples of TFT with Vth intentionally varied from 0 to -5 V (by adjusting channel doping). A possible. explanation of this Vcc independence is proposed in the form of a SPICE simulation with as little as a 0.1-V TFT transient Vth shift due to the TFT's floating body. The accelerated SER was measured by using an Americium alpha particle source.
    研究論文(学術雑誌), 英語
  • A 9μW 50MHz 32b adder using a self-adjusted forward body bias in SoCs
    Koichiro Ishibashi; Takahiro Yamashita; Yukio Arima; Isao Minematsu; Tetsuya Fujimoto
    IEEE International Solid-State Circuits Conference, IEEE, 46巻, 掲載ページ 116-+, 出版日 2003年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Offset calibrating comparator array for 1.2-V, 6-bit, 4-gsample/s flash ADCs using 0.13-um generic CMOS technology
    H Okada; Y Hashimoto; K Sakata; T Tsukada; K Ishibashi
    ESSCIRC 2003: PROCEEDINGS OF THE 29TH EUROPEAN SOLID-STATE CIRCUITS CONFERENCE, IEEE, Proceedings of the 29th Europe巻, 掲載ページ 711-714, 出版日 2003年, 査読付, A 1.2-V calibration comparator array for a Flash-type ADC has been developed using 0.13-mum generic CMOS technology. The developed offset calibration technique corrects the offset mismatch better than 6-bit resolution. By employing an offset calibration circuit in the comparator array, the comparator array can operate at low supply voltages. To evaluate the comparator array, a Obit Flash-type ADC was fabricated that occupies 0.198 mm.(2) With a 1.2-V power supply, it achieves 4.0 GSample/s and consumes 182 mW.
    研究論文(国際会議プロシーディングス), 英語
  • Low power SoC project in STARC
    K Ishibashi; T Yamashita
    2003 INTERNATIONAL SYMPOSIUM ON VLSI TECHNOLOGY, SYSTEMS, AND APPLICATIONS, PROCEEDINGS OF TECHNICAL PAPERS, IEEE, 2003 International Symp. on VL巻, 掲載ページ 180-183, 出版日 2003年, 査読付, Low power SoC technology, which realizes ubiquitous computing era, is investigated. Low voltage operation of 0.5V for logic and memory IN and 1.0V operation for analog IP are target techniques. Such low voltage logic, memory and analog IPs are to be implemented in a single chip to realize super low power SoC.
    研究論文(国際会議プロシーディングス), 英語
  • A system LSI memory redundancy technique using an ie-Flash (inverse-gate-electrode flash) programming circuit
    M Yamaoka; K Yanagisawa; S Shukuri; K Norisue; K Ishibashi
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 37巻, 5号, 掲載ページ 599-604, 出版日 2002年05月, 査読付, A new memory redundancy technique using inverse-gate-electrode flash (ie-Flash) memory cells has been developed. The ie-Flash can be fabricated by the conventional logic CMOS process, so no additional processes are necessary in using it in system LSIs, and it can be programmed by logic testers. We enhanced the reliability of ie-Flash by using some circuits, increasing reliability to endure practical use. This new redundancy technique was successfully implemented in the cache memories of a 32-b RISC microprocessor.
    研究論文(学術雑誌), 英語
  • A 1.2-GIPS/W microprocessor using speed-adaptive threshold-voltage CMOS with forward bias
    M Miyazaki; G Ono; K Ishibashi
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 37巻, 2号, 掲載ページ 210-217, 出版日 2002年02月, 査読付, In a speed-adaptive threshold-voltage CMOS (SA-V-t CMOS) scheme, the substrate bias is controlled so that delay in a circuit remains constant. The substrate bias is continuously changed from -1.5 V of reverse bias to 0.5 V of forward bias in order to compensate for fabrication-process fluctuation, supply-voltage variation, and operating-temperature variation. Advantages and disadvantages of substrate bias control with the forward bias are discussed. The SA-V-t CMOS scheme with forward bias is implemented in a 4.3M-transistor microprocessor. The controller occupies 320 x 400 mum in area and consumes 4-mA current. A 0.5-V forward bias raises the maximum operating frequency of the processor by 10%. The processor provides 400 VAX MIPS at 1.5-1.8 V supply with 320-380-mW power dissipation, that is, it achieves 1.2-GIPS/W performance.
    研究論文(学術雑誌), 英語
  • Design rule for frequency-voltage cooperative power control and its application to an MPEG-4 decoder
    K Aisaka; T Aritsuka; S Misaka; K Toyama; K Uchiyama; K Ishibashi; H Kawaguchi; T Sakurai
    2002 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, IEEE, 2002 Symposium on VLSI Circuit巻, 掲載ページ 216-217, 出版日 2002年, 査読付, Frequency-voltage cooperative power control (FVC) is considered a powerful method to reduce the power consumption of a program, because it utilizes the information of software loads dynamically. ne authors first show through a mathematical analysis that FVC with only two frequency-voltage sets is sufficient for current low-Vdd CPU chips. Then we show an experimental result that FVC feedback control on an MPEG-4 video decoder can reduce the power to one-fourth.
    研究論文(国際会議プロシーディングス), 英語
  • 0.4-V logic library friendly SRAM array using rectangular-diffusion cell and delta-boosted-array-voltage scheme
    M Yamaoka; K Osada; K Ishibashi
    2002 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, IEEE, 2002 Symposium on VLSI Circuit巻, 掲載ページ 170-173, 出版日 2002年, 査読付, We designed a logic library friendly SRAM array. The array uses rectangular-diffusion cell (RD-cell) and delta-boosted-array-voltage scheme (DBA-scheme). In the RD-cell, the cell ratio is 1.0, and it reduces the imbalance of the cell ratio. A low supply voltage deteriorates the static noise margin, however, the DBA-scheme compensates it. Using the combination of RD-cell and DBA-scheme, a 32-kB test chip achieves 0.4-V operation at 4.5-MHz frequency and 140-muW power dissipation and 0.9-muA standby current.
    研究論文(国際会議プロシーディングス), 英語
  • A V-driver circuit for lowering power of sub-0.1um bus
    T Yamashita; Y Arima; K Ishibashi
    2002 IEEE ASIA-PACIFIC CONFERENCE ON ASIC PROCEEDINGS, IEEE, 2002 Asia-Pacific ASIC巻, 掲載ページ 267-270, 出版日 2002年, 査読付, A bus driver circuit which reduces power dissipation of interconnect is described. The proposed V-driver prevents simultaneous signal transition of opposite direction. Simulated results show up to 42.2% power reduction for 65nm technology. Test chip was fabricated and measured result show 10.7% power reduction at 100MHz, 1.0V operation.
    研究論文(国際会議プロシーディングス), 英語
  • Universal-V-dd 0.65-2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell
    K Osada; JL Shin; M Khan; Y Liou; K Wang; K Shoji; K Kuroda; S Ikeda; K Ishibashi
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 36巻, 11号, 掲載ページ 1738-1744, 出版日 2001年11月, 査読付, A universal-V-dd 32-kB four-way-set-associative embedded cache has been developed. A test cache chip was fabricated by using 0.18-mum enhanced CMOS technology, and it was found to continuously operate from 0.65 to 2.0 V Its operating frequency and power are from 120 MHz and 1.7 mW at 0.65 V to 1.04 GHz and 530 mW at 2.0 V The cache is based on two new circuit techniques: a voltage-adapted timing-generation scheme with plural dummy cells for the wider voltage-range operation, and use of a lithographically symmetrical cell for lower voltage operation.
    研究論文(学術雑誌), 英語
  • Universal-V-dd 0.65-2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell
    K Osada; JL Shin; M Khan; Y Liou; K Wang; K Shoji; K Kuroda; S Ikeda; K Ishibashi
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 36巻, 11号, 掲載ページ 1738-1744, 出版日 2001年11月, 査読付, A universal-V-dd 32-kB four-way-set-associative embedded cache has been developed. A test cache chip was fabricated by using 0.18-mum enhanced CMOS technology, and it was found to continuously operate from 0.65 to 2.0 V Its operating frequency and power are from 120 MHz and 1.7 mW at 0.65 V to 1.04 GHz and 530 mW at 2.0 V The cache is based on two new circuit techniques: a voltage-adapted timing-generation scheme with plural dummy cells for the wider voltage-range operation, and use of a lithographically symmetrical cell for lower voltage operation.
    研究論文(学術雑誌), 英語
  • CMOS process compatible ie-flash (inverse gate electrode flash) technology for system-on-a chip
    S Shukuri; K Yanagisawa; K Ishibashi
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E84C巻, 6号, 掲載ページ 734-739, 出版日 2001年06月, 査読付, A highly reliable single-poly flash technology named ie-Flash (inverse gate electrode Flash), which can be embedded in a standard CMOS process without any process modifications, has been developed. The ie-flash cell consists of two elementary cells for OR-logical reading, resulting in significant improvement of reliability 5 V-programming with I ms duration and 1.2 V-read operation of 35 bit memory modules fabricated by a 0.14 mum CMOS process is demonstrated. This flash technology will extends not only testing cost reduction of the system-on-a chip by replacing laser-link but also provides flexibility of programmable logic applications.
    研究論文(学術雑誌), 英語
  • CMOS process compatible ie-flash (inverse gate electrode flash) technology for system-on-a chip
    S Shukuri; K Yanagisawa; K Ishibashi
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E84C巻, 6号, 掲載ページ 734-739, 出版日 2001年06月, 査読付, A highly reliable single-poly flash technology named ie-Flash (inverse gate electrode Flash), which can be embedded in a standard CMOS process without any process modifications, has been developed. The ie-flash cell consists of two elementary cells for OR-logical reading, resulting in significant improvement of reliability 5 V-programming with I ms duration and 1.2 V-read operation of 35 bit memory modules fabricated by a 0.14 mum CMOS process is demonstrated. This flash technology will extends not only testing cost reduction of the system-on-a chip by replacing laser-link but also provides flexibility of programmable logic applications.
    研究論文(学術雑誌), 英語
  • CMOS process compatible ie-flash(inverse gate electrode flash) technology for system-on-a chip
    S Shukuri; K Yanagisawa; K Ishibashi
    PROCEEDINGS OF THE IEEE 2001 CUSTOM INTEGRATED CIRCUITS CONFERENCE, IEEE, 2001 IEEE Custom Integrated Ci巻, 掲載ページ 179-182, 出版日 2001年, 査読付, A highly reliable single-poly flash technology named ie-Flash (inverse gate electrode Flash), which can be embedded In the common 0.14 mum CMOS process without any process modifications, has been developed The ie-flash cell consists of two elementary cells for OR-logical reading resulting in significant improvement of reliability. 5V-programming with 1ms duration and 1.2V-read operation of 35bit memory modules fabricated by a 0.14 mum CMOS process is demonstrated.
    研究論文(国際会議プロシーディングス), 英語
  • A system LSI memory redundancy technique using an ie-Flash (inverse-gate-electrode flash) programming circuit
    M Yamaoka; K Yanagisawa; S Shukuri; K Norisue; K Ishibashi
    2001 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, JAPAN SOCIETY APPLIED ELECTROMAGNETICS & MECHANICS, 2001 Symposium on VLSI Circuit巻, 掲載ページ 71-72, 出版日 2001年, 査読付, A new memory redundancy technique using ie-Flash (inverse-gate-electrode flash) memory cells was developed. Ie-Flash can be fabricated by the conventional logic CMOS process, so no additional processes are necessary in using it in system LSIs, and it can be programmed by logic tester. This new redundancy technique was successfully implemented in the cache memories of a 32-bit RISC microprocessor.
    研究論文(国際会議プロシーディングス), 英語
  • Low Power Memory
    K. Ishibashi
    in the short course, 2001 SSDM(International Symposium on Solid-State Devices and Materials), in the short course, 2001 SSDM巻, 出版日 2001年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Substrate-Bias Techniques for SH4(未刊行論文)
    K. Ishibashi
    in the short course, 2001 VLSI Circuit Symposium, in the short course, 2001 VLSI巻, 出版日 2001年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • 低電力システムクロック発生回路向け並列位相比較型ディレーロックドループ
    宮崎祐行; 石橋孝一郎
    電子情報通信学会論文誌C, Vol.J83-C巻, No.6号, 掲載ページ p.p. 502-508, 出版日 2000年, 査読付
    研究論文(学術雑誌), 日本語
  • A 2-ns-access, 285-MHz, two-port cache macro using double global bit-line pairs
    K Osada; H Higuchi; K Ishibashi; N Hashimoto; K Shiozawa
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E83C巻, 1号, 掲載ページ 109-114, 出版日 2000年01月, 査読付, We fabricated a 16-kB cache macro using 0.35-mu m quadruple-metal CMOS technology. This is a 285-MHz, two-port 16-kB (512 x 256 b) cache macro that has a 2-ns access time. This high-speed performance is enabled by a hierarchical bit-line architecture: that uses double global bit-line pairs (WGBs); and a high-speed timing-insensitive sense amplifier (ISA) that shortens the access time.
    研究論文(学術雑誌), 英語
  • A 1000-MIPS/W microprocessor using speed adaptive threshold-voltage CMOS with forward bias
    M. Miyazaki; G. Ono; T. Hattori; K. Shiozawa; K. Uchiyama; K. Ishibashi
    2000 IEEE International Solid-State Circuits Conference, 2000 IEEE International Solid-巻, 出版日 2000年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Quantitative Study of SA-Vt CMOS Scheme Based on the Evaluation of Device Fluctuation
    G. Ono; M. Miyazaki; K. Ishibashi
    2000 International Conference on Solid State Devices and Materials, 2000 International Conference巻, 出版日 2000年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • An 18-μA standby current 1.8-V, 200-MHz microprocessor with self-substrate-biased data-retention mode
    H. Mizuno; K. Ishibashi; T. Shimura; T. Hattori; S. Narita; K. Shiozawa; S . Ikeda; K.Uchiyama
    IEEE Journal of Solid-State Circuits, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 34巻, 11号, 掲載ページ 1492-1500, 出版日 1999年11月, 査読付, A low-standby-current 1.8-V, 200-MHz microprocessor has been fabricated with a 0.2-mu m, five-metal, dual-oxide-thickness, CMOS technology and two power-down modes (i.e., a standby mode and a data-retention mode), The microprocessor uses a switched substrate-impedance scheme to bias substrates in the standby mode while maintaining a 200-MHz operating speed. Data-retention capability during the standby mode is also maintained. This mode achieves 46.5-mu A standby current. The microprocessor also offers a battery-backup capability in a self-substrate-biased data-retention mode, This makes it possible to apply a deep substrate bias without increasing the gate-induced drain leakage current or pn junction current. The current consumption is only 17.8 mu A when operating off a 1-V supply in the data-retention mode.
    研究論文(学術雑誌), 英語
  • A 18 μA-standby-current 1.8 V 200 MHz microprocessor with self substrate-biased data-retention mode
    H. Mizuno; K. Ishibashi; T. Shimura; T. Hattori; S. Narita; K. Shiozawa; S . Ikeda; K.Uchiyama
    1999 IEEE International Solid-state Circuits Conference, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 34巻, 11号, 掲載ページ 1492-1500, 出版日 1999年11月, 査読付, A low-standby-current 1.8-V, 200-MHz microprocessor has been fabricated with a 0.2-mu m, five-metal, dual-oxide-thickness, CMOS technology and two power-down modes (i.e., a standby mode and a data-retention mode), The microprocessor uses a switched substrate-impedance scheme to bias substrates in the standby mode while maintaining a 200-MHz operating speed. Data-retention capability during the standby mode is also maintained. This mode achieves 46.5-mu A standby current. The microprocessor also offers a battery-backup capability in a self-substrate-biased data-retention mode, This makes it possible to apply a deep substrate bias without increasing the gate-induced drain leakage current or pn junction current. The current consumption is only 17.8 mu A when operating off a 1-V supply in the data-retention mode.
    研究論文(学術雑誌), 英語
  • A separated bit-line unified cache: Conciliating small on-chip cache die-area and low miss ratio
    H Mizuno; K Ishibashi
    IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 7巻, 1号, 掲載ページ 139-144, 出版日 1999年03月, 査読付, This paper describes an on-chip cache, called a separated bit-line unified cache, which minimizes the chip-area cost in highperformance microprocessors. This unified cache has two ports; one for the instruction bus and the other for the data bus. A separated bit-line memory hierarchy architecture realizes memory hierarchy design with only 10%-20% area overhead. The total cache area can be reduced by more than 20%-30% on the average at capacities of larger than 64 KB with the same hit rate as the conventional cache. The cache latency reaches 4.2 ns at a supply voltage of 1 V, Additionally, the cache is physically addressable even if the cache has a large capacity.
    研究論文(学術雑誌), 英語
  • Analog circuit design methodology in a low power RISC microprocessor
    Koichiro Ishibashi; Hisayuki Higuchi; Toshinobu Shimbo; Kunio Uchiyama; Kenji Shiozawa; Naotaka Hashimoto; Shuji Ikeda
    Analog Integrated Circuits and Signal Processing, 20巻, 2号, 掲載ページ 85-94, 出版日 1999年, 査読付, There are various kinds of analog CMOS circuits in microprocessors. IOs, clock distribution circuits including PLL, memories are the main analog circuits. The circuit techniques to achieve low power dissipation combined with high performance in newest prototype chip in the Super H RISC engines are described. A TLB delay can be decreased by using a CAM with a differential amplifier to generate the match signal. The accelerator circuit also helps to speed up the TLB circuit, enabling single-cycle operation. A fabricated 96-mm2 test chip with the super H architecture using 0.35-μm four metal CMOS technology is capable of 167-MHz operation at 300 Dhrystone MIPS with 2.0-W power dissipation.
    研究論文(学術雑誌), 英語
  • A 3-cycle lock time delay-locked loop with a parallel phase detector for low power mobile systems
    M. Miyazaki; K. Ishibashi
    AP-ASIC '99. The First IEEE Asia Pacific Conference, AP-ASIC '99. The First IEEE As巻, 出版日 1999年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Analog circuit design methodology in a low power RISC microprocessor
    K Ishibashi; H Higuchi; T Shimbo; K Uchiyama; K Shiozawa; N Hashimoto; S Ikeda
    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E81A巻, 2号, 掲載ページ 210-217, 出版日 1998年02月, There are various kinds of analog CMOS circuits in microprocessors. IOs. clock distribution circuits including PLL. memories are the main analog circuits. The circuit techniques to achieve low power dissipation combined with high performance in newest prototype chip in the Super H RISC engines are described. A TLB delay can be decreased bq using a CAM I with a differential amplifier to generate the match signal. The accelerator circuit also helps to speed up the TLB circuit. enabling single-cycle operation. A fabricated 96-mm(2) test chip with the super H architecture using 0.35-mu m four metal CMOS technology is capable of 167-MHz operation at 300 Dhrystone MIPS with 2.0-W power dissipation.
    研究論文(学術雑誌), 英語
  • A 200 MHz 1.2 W 1.4 GFLOPS microprocessor with graphic operation unit
    O. Nishii; F. Arakawa; K. Ishibashi; S. Nakano; T. Shimura; K. Suzuki; M. Tachibana; Totsuka; T. Tsunoda; K. Uchiyama; T. Yamada; T. Hattori; H. Maejima; N. Nakagawa; S. Narita; M. Seki; Y. Shimazaki; R. Satomura; T. Takasuga; A. Hasegawa
    1998 IEEE International Solid-state Circuits Conference, 1998 IEEE International Solid-巻, 出版日 1998年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A noise-immune GHz-clock distribution scheme using synchronous distributed oscillators
    H. Mizuno; K. Ishibashi
    1998 IEEE International Solid-state Circuits Conference, 1998 IEEE International Solid-巻, 出版日 1998年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A delay distribution squeezing scheme with speed-adaptive threshold-voltage CMOS (SA-Vt CMOS) for low voltage LSIs
    M Miyazaki; H Mizuno; K Ishibashi
    1998 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN - PROCEEDINGS, ASSOC COMPUTING MACHINERY, 1998 International Symposium o巻, 掲載ページ 48-53, 出版日 1998年, 査読付, In a speed-adaptive threshold-voltage CMOS (SA-Vt CMOS) circuit, the substrate bias is controlled so that delay in the circuit stays constant. Distributions of device speeds are squeezed under fast-operation conditions. With a ring oscillator using 0.25-mu m CMOS devices as a test circuit, we found that the worst-case operating frequency was improved from 20 MHz to 55 MHz, and the fluctuation of the operating frequency was suppressed from 44 % to 15 % while the supply-voltage variation was under 0.1 V with a 1.8 V supply voltage.
    研究論文(国際会議プロシーディングス), 英語
  • A 6.93-mu m(2) full CMOS SRAM cell technology for 1.8-V high-performance cache memory
    M Minami; N Ohki; H Ishida; T Yamanaka; A Shimizu; K Ishibashi; A Satoh; T Kure; T Nishida; T Nagano
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E80C巻, 4号, 掲載ページ 590-596, 出版日 1997年04月, 査読付, A high-performance microprocessor-compatible small size full CMOS SRAM cell technology for under 1.8-V operation has been developed. Less than 1-mu m spacing between the n and pMOSFETs is achieved by using a retrograde well combined with SSS-OSELO technology. To connect the gates of a driver nMOSFET and a load pMOSFET directly, a 0.3-mu m n-gate load pMOSFET, formed by amorphous-Si-film through-channel implantation, is merged with a 0.25-mu m p-gate pMOSFET for the peripheral circuits. The memory cell area is reduced by using a mask-free contact process for the local interconnect, which includes titanium-nitride wet-etching using a plasma-TEOS silicone-dioxide mask. The newly developed memory cell was demonstrated using 0.25-mu m CMOS process technology. A 6.93-mu m(2) and 1-V operation full CMOS SRAM cell with a high-performance circuit was achieved by a simple fabrication process.
    研究論文(学術雑誌), 英語
  • The design of 300MIPS microprocessor with a full associative TLB for hand-held PC OS
    K Ishibashi; H Higuchi; Y Shimbo; F Arakawa; O Nishii; N Nakagawa; H Maejima; K Osada; K Norisue; R Satomura; Aoki; Y Shimazaki; K Tanaka; T Hattori; K Shiozawa; K Kudo; K Uchiyama; S Narita; J Nishimoto; T Nagano; S Ikeda; K Kuroda; T Takeda; N Hashimoto
    1997 SYMPOSIUM ON VLSI CIRCUITS, JAPAN SOCIETY APPLIED PHYSICS, 1997 Symposium on VLSI Cirvuit巻, 掲載ページ 9-10, 出版日 1997年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A lean-power gigascale LSI using hierarchical V-BB routing scheme with frequency adaptive V-T CMOS
    H Mizuno; M Miyazaki; K Ishibashi; Y Nakagome; T Nagano
    1997 SYMPOSIUM ON VLSI CIRCUITS, JAPAN SOCIETY APPLIED PHYSICS, 1997 IEEE International Solid-巻, 掲載ページ 95-96, 出版日 1997年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A lean-power gigascale LSI using hierarchical V-BB routing scheme with frequency adaptive V-T CMOS
    H Mizuno; M Miyazaki; K Ishibashi; Y Nakagome; T Nagano
    1997 SYMPOSIUM ON VLSI CIRCUITS, JAPAN SOCIETY APPLIED PHYSICS, 1997 Symposium on VLSI Circuit巻, 掲載ページ 95-96, 出版日 1997年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • An 8-mW, 8-kB cache memory using an automatic-power-save architecture for low power RISC microprocessors
    Y Shimazaki; K Norisue; K Ishibashi; H Maejima
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E79C巻, 12号, 掲載ページ 1693-1698, 出版日 1996年12月, 査読付, An embedded cache memory for low power RISC microprocessors is described. An automatic-power-save architecture (APSA) enables the cache memory to operate with high speed at high frequencies, and with low power dissipation at low frequencies. A pulsed word technique (PWT) and an isolated bit line technique (IBLT) reduce the power dissipation of the cache memory effectively. Using these three techniques, the power dissipation of the cache memory is reduced to almost 60% of the conventional cache memory at 60 MHz and to 20% at a clock frequency of 10 MHz. An 8 KByte test chip using 0.5 mu m CMOS technology was fabricated, and it achieves 80 MHz operation at a supply voltage of 3.1 V, and 8 mW operation at a supply voltage of 2.5 V at 10 MHz.
    研究論文(学術雑誌), 英語
  • A 1-V, 100-MHz, 10-mW cache using a separated bit-line memory hierarchy architecture and domino tag comparators
    H Mizuno; N Matsuzaki; K Osada; T Shinbo; N Ohki; H Ishida; K Ishibashi; T Kure
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 31巻, 11号, 掲載ページ 1618-1624, 出版日 1996年11月, 査読付, A l-V 16-KB (L2) 2-KB (L1) four-way set-associative cache was fabricated using a 0.25-mu m CMOS technology for future low-power high-speed microprocessors. Effective latency of 6.9 ns and power consumption of 10 mW at 100 MHz are obtained at a supply voltage of 1 V, This performance is achieved by using a new separated bit-line memory hierarchy architecture (SBMHA) that speeds up latency and reduces power consumption, and domino tag comparators (DTC's) that reduce the power dissipation of tag comparisons.
    研究論文(学術雑誌), 英語
  • A 1-V, 100-MHz, 10-mW cache using a separated bit-line memory hierarchy architecture and domino tag comparators
    H Mizuno; N Matsuzaki; K Osada; T Shinbo; N Ohki; H Ishida; K Ishibashi; T Kure
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 31巻, 11号, 掲載ページ 1618-1624, 出版日 1996年11月, 査読付, A l-V 16-KB (L2) 2-KB (L1) four-way set-associative cache was fabricated using a 0.25-mu m CMOS technology for future low-power high-speed microprocessors. Effective latency of 6.9 ns and power consumption of 10 mW at 100 MHz are obtained at a supply voltage of 1 V, This performance is achieved by using a new separated bit-line memory hierarchy architecture (SBMHA) that speeds up latency and reduces power consumption, and domino tag comparators (DTC's) that reduce the power dissipation of tag comparisons.
    研究論文(学術雑誌), 英語
  • High-speed CMOS SRAM technologies for cache applications
    K Ishibashi
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E79C巻, 6号, 掲載ページ 724-734, 出版日 1996年06月, This paper describes high-speed CMOS SRAM circuit technologies used in cache memories. In recent years, high-speed SRAM technology has led to higher cycle frequencies, brit the rate of increase in the SRAM density has slowed. Operating modes of high-speed SRAMs are compared and the advantage of wave-pipelined SRAMs in terms of cycle frequency is shown. Three types of sense amplifiers used in SRAMs are also compared from the viewpoint of speed and power dissipation. Current sense amplifiers provide high-speed operation with low power dissipation, while latch-type sense amplifiers appear most suitable for ultra-low-power SRAMs. Low voltage operation and size reduction of full CMOS cells are now the most pressing issues in the development of SRAMs for cache memories.
    研究論文(学術雑誌), 英語
  • A cost-oriented two-port unified cache for low-power RISC microprocessors
    H Mizuno; K Ishibashi
    1996 SYMPOSIUM ON VLSI CIRCUITS - DIGEST OF TECHNICAL PAPERS, I E E E, 1996 Symposium on VLSI Circuit巻, 掲載ページ 72-73, 出版日 1996年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • ADVANCED TFT SRAM CELL TECHNOLOGY USING A PHASE-SHIFT LITHOGRAPHY
    T YAMANAKA; T HASHIMOTO; N HASEGAWA; T TANAKA; N HASHIMOTO; A SHIMIZU; N OHKI; K ISHIBASHI; K SASAKI; T NISHIDA; T MINE; E TAKEDA; T NAGANO
    IEEE TRANSACTIONS ON ELECTRON DEVICES, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 42巻, 7号, 掲載ページ 1305-1313, 出版日 1995年07月, 査読付, An advanced TFT memory cell technology has been developed for making high-density and high-speed SRAM cells, The cell is fabricated using a phase-shift lithography that enables patterns with spaces of less than 0.25 mu m to be made using the conventional stepper, Cell area is also reduced by using a small cell-ratio and a parallel layout for the transistor, Despite the small cell-ratio, stable operation Is assured by using advanced polysilicon PMOS TFT's for load devices, The effect of the Si3N4 multilayer gate insulator on the on-current and the influence of the channel implantation are also investigated, To obtain stable operation and extremely low stand-by power dissipation, a self-aligned offset structure for the polysilicon PMOS TFT is proposed and demonstrated, A leakage current of only 2 fA/cell and an on-/off-current ratio of 4.6 x 10(6) are achieved with this polysilicon PMOS TFT in a memory cell, which is demonstrated in a experimental 1-Mbit CMOS SRAM chip that has an access time of only 7 ns.
    研究論文(学術雑誌), 英語
  • A 6-NS 4-MB CMOS SRAM WITH OFFSET-VOLTAGE-INSENSITIVE CURRENT SENSE AMPLIFIERS
    K ISHIBASHI; K TAKASUGI; K KOMIYAJI; H TOYOSHIMA; T YAMANAKA; A FUKAMI; N HASHIMOTO; N OHKI; A SHIMIZU; T HASHIMOTO; T NAGANO; T NISHIDA
    IEICE TRANSACTIONS ON ELECTRONICS, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG, E78C巻, 6号, 掲載ページ 728-734, 出版日 1995年06月, 査読付, A 4-Mb CMOS SRAM with 3.84 mu m(2) TFT load cells is fabricated using 0.25-mu m CMOS technology and achieves an address access time of 6 ns at a supply voltage of 2.7 V. The use of a current sense amplifier that is insensitive to its offset voltage enables the fast access time. A boosted cell array architecture allows low voltage operation of fast SRAM's using TFT load cells.
    研究論文(学術雑誌), 英語
  • A 6-ns 4-Mb CMOS SRAM with Offset-Voltage-Insensitive Current Sense Amplifiers
    Koichiro Ishibashi; Kunihiro Komiyaji; Toshiaki Yamanaka; Akira Fukami; Takahiro Nagano
    IEEE Journal of Solid-State Circuits, 30巻, 4号, 掲載ページ 480-486, 出版日 1995年, 査読付, A 4-Mb CMOS SRAM with 3.84 µm2 TFT load cells is fabricated using 0.25-µm CMOS technology and achieves an address access time of 6 ns at a supply voltage of 2.7 V. The use of a current sense amplifier that is insensitive to its offset voltage enables the fast access time. A boosted cell array architecture allows low voltage operation of fast SRAM's using TFT load cells. © 1995 IEEE
    研究論文(学術雑誌), 英語
  • A 300MHZ 4-MB WAVE-PIPELINE CMOS SRAM USING A MULTI-PHASE PLL
    K ISHIBASHI; K KOMIYAJI; H TOYOSHIMA; M MINAMI; N OOKI; H ISHIDA; T YAMANAKA; T NAGANO; T NISHIDA
    1995 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE, DIGEST OF TECHNICAL PAPERS, I E E E, 38巻, 掲載ページ 308-309, 出版日 1995年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A low-power single-chip microprocessor with multiple page-size MMU for nomadic computing
    S Narita; K Ishibashi; S Tachibana; K Norisue; Y Shimazaki; J Nishimoto; K Uchiyama; T Nakazawa; K Hirose; Kudoh, I; R Izawa; S Matsui; S Yoshioka; M Yamamoto; Kawasaki, I
    1995 SYMPOSIUM ON VLSI CIRCUITS, JAPAN SOC APPLIED PHYSICS, 1995 Symposium on VLSI Circuit巻, 掲載ページ 59-60, 出版日 1995年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • An automatic-power-save cache memory for low-power RISC processors
    Y. Shimazaki; K. Ishibashi; K. Norisue; S. Narita; K. Uchiyama; T. Nakazawa; I. Kudoh; R. Izawa; S. Yoshioka; S. Tamaki; S. Nagata; I. Kawasaki; K. Kuroda
    IEEE Symposium on Low Power Electronics and Design 1995, IEEE Symposium on Low Power El巻, 出版日 1995年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 6.93-μm2 n-gate full CMOS SRAM cell technology with high-performance 1.8-V dual-gate CMOS for peripheral circuits
    M. Minami; N. OhkiH. Ishida; T. Yamanaka; A. Shimizu; K. Ishibashi; A. Satoh; T. Kure; T. Nishida; T. Nagano
    1995 Symposium on VLSI Technology, I E E E, 1995 Symposium on VLSI Technol巻, 掲載ページ 13-14, 出版日 1995年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 12.5-ns 16-Mb CMOS SRAM with Common-Centroid-Geometry-Layout Sense Amplifiers
    Koichiro Ishibashi; Toshiaki Yamanaka; Naotaka Hashimoto; Koichi Motohashi; Toshiro Aoto; Kyoichiro Asayama; Atsuyosi Koike; Fumio Kojima; Haruhito Iida; Katsuro Sasaki
    IEEE Journal of Solid-State Circuits, 29巻, 4号, 掲載ページ 411-418, 出版日 1994年, 査読付, A 16-Mb CMOS SRAM using 0.4-µm CMOS technology has been developed. This SRAM features common-centroid-geometry (CCG) layout sense amplifiers which shorten the access time by 2.4 ns. A flexible redundancy technique achieves high efficiency without any access penalty. A memory cell with stacked capacitors is fabricated for high soft-error immunity. A 16-Mb SRAM with a chip size of 215 mm2 is fabricated and an address access time of 12.5 ns has been achieved. © 1994 IEEE
    研究論文(学術雑誌), 英語
  • A stacked split word-line (SSW) cell for low-voltage operation, large capacity, high speed SRAMs
    Shuji Ikeda; Kyoichiro Asayama; Naotaka Hashimoto; Eri Fujita; Yasuko Yoshida; Atsuyosi Koike; Toshiaki Yamanaka; Koichiro Ishibashi; Satoshi Meguro
    IEDM Tech. Dig., 掲載ページ pp. 809-812, 出版日 1993年12月, 査読付
    研究論文(学術雑誌), 英語
  • A stacked split word-line (SSW) cell for low-voltage operation, large capacity, high speed SRAMs
    Shuji Ikeda; Kyoichiro Asayama; Naotaka Hashimoto; Eri Fujita; Yasuko Yoshida; Atsuyosi Koike; Toshiaki Yamanaka; Koichiro Ishibashi; Satoshi Meguro
    IEDM Tech. Dig., IEDM Tech. Dig.巻, 出版日 1993年12月, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 12.5NS 16MB CMOS SRAM
    K ISHIBASHI; K KOMIYAJI; S MORITA; T AOTO; S IKEDA; K ASAYAMA; A KOIKE; T YAMANAKA; N HASHIMOTO; H IIDA; F KOJIMA; K MOTOHASHI; K SASAKI
    1993 SYMPOSIUM ON VLSI CIRCUITS, JAPAN SOC APPLIED PHYSICS, 1993 Symposium on VLSI Circuit巻, 掲載ページ 103-104, 出版日 1993年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 1-V TFT-LOAD SRAM USING A 2-STEP WORD-VOLTAGE METHOD
    K ISHIBASHI; K TAKASUGI; T YAMANAKA; T HASHIMOTO; K SASAKI
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 27巻, 11号, 掲載ページ 1519-1524, 出版日 1992年11月, 査読付, A 1-V SRAM using a TFT load cell was developed. Key circuits for obtaining the low-voltage operation are a two-step word-voltage (TSW) method, a submicroampere boosted-level generator using a multivibrator, and a sense amplifier using low-threshold MOSFET's. An access time of 250 ns and a standby current of 0.23 muA were achieved for a 4-kb test chip using a 10.2-mum2 TFT-load cell. This technology is applicable for high-density and single-battery operational SRAM's.
    研究論文(学術雑誌), 英語
  • A 7-NS 140-MW 1-MB CMOS SRAM WITH CURRENT SENSE AMPLIFIER
    K SASAKI; K ISHIBASHI; K UEDA; K KOMIYAJI; T YAMANAKA; N HASHIMOTO; H TOYOSHIMA; F KOJIMA; A SHIMIZU
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 27巻, 11号, 掲載ページ 1511-1518, 出版日 1992年11月, 査読付, A 7-ns 140-mW 1-Mb CMOS SRAM was developed to provide fast access and low power dissipation by using high-speed circuits for a 3-V power supply: a current-sense amplifier and a pre-output buffer. The current-sense amplifier shows three times the gain of a conventional voltage-sense amplifier and saves 60% of power dissipation while maintaining a very short sensing delay. The pre-output buffer reduces output delays by 0.5 ns to 0.75 ns. The 6.6-mum2 high-density memory cell uses a new parallel transistor layout and phase-shifting photo-lithography. The critical charge that brings about soft error in a memory cell can be drastically increased by adjusting the resistances of poly-PMOS gate electrodes. This can be done without increasing process complexity or memory cell area. The 1-Mb SRAM was fabricated using 0.3-mum CMOS quadruple-poly and double-metal technology. The chip measures 3.96 mm x 7.4 mm (29 mm2).
    研究論文(学術雑誌), 英語
  • A VOLTAGE DOWN CONVERTER WITH SUBMICROAMPERE STANDBY CURRENT FOR LOW-POWER STATIC RAMS
    K ISHIBASHI; K SASAKI; H TOYOSHIMA
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 27巻, 6号, 掲載ページ 920-926, 出版日 1992年06月, 査読付, A submicroampere standby current voltage down converter (VDC) for high-density, low-power static RAM's is described. The current consumption of the VDC in standby mode can be decreased by using a new low-current and temperature-independent current source circuit. The total current is less than 0.5-mu-A at external voltage ranging from 3 to 5 V and at temperatures ranging from -20 to 80-degrees-C. The voltage-follower circuits for standby and operation modes are stable despite the low current consumption in the standby mode. The phase margin of the voltage follower for standby mode is 50-degrees and that for operation mode is 90-degrees. This indicates that the VDC is a promising circuit for battery-backup and high-density static RAM's.
    研究論文(学術雑誌), 英語
  • A 1.7-V Adjustable I/O Interface for Low-Voltage Fast SRAM's
    Koichiro Ishibashi; Katsuro Sasaki; Toshiaki Yamanaka; Hiroshi Toyoshima; Fumio Kojima
    IEEE Journal of Solid-State Circuits, 27巻, 4号, 掲載ページ 674-677, 出版日 1992年, 査読付, An all-CMOS output buffer has been developed. The output buffer is composed of a voltage-follower and a source-follower circuit. The performance of the output buffer is characterized by a low-voltage operation of 1.7 V, a short delay of 1 ns, availability for the wired-OR connection, and adjustability to TTL, ECL, and a reduced swing level (RSL). The output buffer is incorporated into a 64-kb CMOS SRAM. This SRAM has achieved an access time of 4.3 ns at a supply voltage of —3.6 V. © 1992 IEEE
    研究論文(学術雑誌), 英語
  • Low power, low voltage memories for portable electronics
    O. Minato; K. Ishibashi
    1991 International Symposium on Technology, Systems and Applications, 1991 International Symposium o巻, 出版日 1991年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 23 ns 4 Mb CMOS SRAM with 0.5 μA standby current
    K. Sasaki; K. Ishibashi; T. Yamanaka; K. Shimohigashi; N. Moriwaki; S. Honjo; S. Ikeda; A Koike; S, Meguro; O. Minato
    1990 IEEE International Solic-state Circuits Conference, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 25巻, 5号, 掲載ページ 1075-1081, 出版日 1990年10月, 査読付
    研究論文(学術雑誌), 英語
  • An a-Immune, 2-V Supply Voltage SRAM Using a Polysilicon PMOS Load Cell
    Koichiro Ishibashi; Toshiaki Yamanaka; Katsuhiro Shimohigashi
    IEEE Journal of Solid-State Circuits, 25巻, 1号, 掲載ページ 55-60, 出版日 1990年, 査読付, - A SRAM for a supply voltage of as low as 2 V is investigated for realizing high-density SRAM’s using deep submicrometer devices. The key technology for achieving the low-voltage operation is shown to be a polysilicon PMOS load (PPL) cell. The polysilicon PMOS device is successfully stacked on the bulk MOSFET, using 0.5-μm CMOS technology. The investigation emphasizes the soft error rate (SER) and the stability of the cell. The SER of the PPL cell at a supply voltage of 2 V is comparable to that of the conventional high-resistivity polysilicon load cell at a supply voltage of 5 V. The cell stability is also improved using a PPL cell, so that the low-voltage operation is assured. © 1990 IEEE
    研究論文(学術雑誌), 英語
  • A 5.9 μm2 super low power SRAM cell using a new phase-shift lithography
    T. Yamanaka; N. Hasegawa; T. Tanaka; K. Ishibashi; T. Hashimoto; A. Shimizu; N. Hashimoto; K. Sasaki; T. Nishida; E. Takeda
    1990 International Electron Devices Meeting, 1990 International Electron De巻, 出版日 1990年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 9-NS 1-MBIT CMOS SRAM
    K SASAKI; K ISHIBASHI; T YAMANAKA; N HASHIMOTO; T NISHIDA; K SHIMOHIGASHI; S HANAMURA; S HONJO
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 24巻, 5号, 掲載ページ 1219-1225, 出版日 1989年10月, 査読付
    研究論文(学術雑誌), 英語
  • A 25 μm2, new poly-Si PMOS load (PPL) SRAM cell having excellent soft error immunity
    T. Yamanaka; T. Hashimoto; N. Hashimoto; T. Nishida; A. Shimuzu; K. Ishibashi; Y. Sakai; K. Shimohigashi; E. Takeda
    Electron Devices Meeting., Technical Digest., International, Electron Devices Meeting., Tec巻, 出版日 1988年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • A 42ns 1Mb CMOS SRAM
    O. Minato; T. Sasaki; S. Honjo; K. Ishibashi; Y. Sasaki; N. Moriwaki; K. Nishimura; Y. Sakai; S. Meguro; M. Tsunematsu; T. Masuhara
    1987 IEEE International Solid-state Circuits Conference, 1987 IEEE International Solid-巻, 出版日 1987年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • SPE-COSI2 SUBMICROMETER LINES BY LIFT-OFF USING SELECTIVE REACTION AND ITS APPLICATION TO A PERMEABLE-BASE TRANSISTOR
    K ISHIBASHI; S FURUKAWA
    IEEE TRANSACTIONS ON ELECTRON DEVICES, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 33巻, 3号, 掲載ページ 322-327, 出版日 1986年03月, 査読付
    研究論文(学術雑誌), 英語
  • FORMATION OF UNIFORM SOLID-PHASE EPITAXIAL COSI2 FILMS BY PATTERNING METHOD
    K ISHIBASHI; S FURUKAWA
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, JAPAN J APPLIED PHYSICS, 24巻, 8号, 掲載ページ 912-917, 出版日 1985年, 査読付
    研究論文(学術雑誌), 英語
  • Si permeable base transistor by metal/semiconductor hetero-epitaxy
    K. Ishibashi; S. Furukawa
    1984 International Electron Devices Meeting, 1984 International Electron De巻, 出版日 1984年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • Formation of SPE-CoSi2 Submicron Line by Lift Off Using Selective Reaction
    K. Ishibashi; S. Furukawa
    1984 Internaitonal Conference on Solid-state Devices and Materials, 1984 Internaitonal Conference巻, 出版日 1984年, 査読付
    研究論文(国際会議プロシーディングス), 英語
  • STUDY OF THE UNIFORMITY AND STOICHIOMETRY OF COSI2 FILMS USING RUTHERFORD BACKSCATTERING SPECTROSCOPY AND SCANNING ELECTRON-MICROSCOPY
    K ISHIBASHI; S FURUKAWA
    APPLIED PHYSICS LETTERS, AMER INST PHYSICS, 43巻, 7号, 掲載ページ 660-662, 出版日 1983年, 査読付
    研究論文(学術雑誌), 英語
  • Study on Formation of Solid-Phase-Epitaxial CoSi2 Films and Patterning Effects
    K. Ishibashi; H. Ishiwara; S. Furukawa
    1983 International Conference on Solid-state Devices and Materials, 1983 International Conference巻, 出版日 1983年, 査読付
    研究論文(国際会議プロシーディングス), 英語

MISC

  • 急峻なSSを持つ"PN-Body Tied SOI-FET"のCMOSインバータ伝達特性 (情報センシング)—CMOS Inverter Transfer Characteristics on Steep SS "PN-Body Tied SOI-FET"
    石黒 翔太; 井田 次郎; 森 貴之; 石橋 孝一郎
    映像情報メディア学会, 出版日 2020年08月, 映像情報メディア学会技術報告 = ITE technical report, 44巻, 17号, 掲載ページ 57-60, 日本語, 1342-6893, 40022323689, AN1059086X
  • 急峻なSSを持つ"PN Body-Tied SOI-FET"におけるBOX中の正電荷と基板バイアスの影響 (シリコン材料・デバイス)—Effect of V[sub] and Positive Charge in Buried Oxide on Super Steep SS "PN Body-Tied SOI-FET"—集積回路
    矢吹 亘; 井田 次郎; 森 貴之; 石橋 孝一郎; 新井 康夫
    電子情報通信学会, 出版日 2019年08月, 電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 119巻, 162号, 掲載ページ 89-93, 日本語, 0913-5685, 40021997138, AA1123312X
  • 極急峻SSを持つ"PN-Body Tied SOI-FET"を使った極低電力レクテナ (集積回路)—Ultra Low power rectenna with super SS "PN-Body Tied SOI-FET"—シリコン材料・デバイス
    山田 拓弥; 井田 次郎; 森 貴之; 安丸 暢彦; 伊東 健治; 石橋 孝一郎
    電子情報通信学会, 出版日 2019年08月, 電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 119巻, 161号, 掲載ページ 95-98, 日本語, 0913-5685, 40021997414, AA1123312X
  • 招待講演 急峻なSSを持つPN-Body Tied SOI-FETを用いたMOS Diode接続での特性および微小電圧整流実験 (シリコン材料・デバイス)—Characteristics and Ultralow Voltage Rectification Experiment on MOS Diode connection using Super Steep SS PN-Body Tied SOI-FET
    百瀬 駿; 井田 次郎; 山田 拓弥; 森 貴之; 伊東 健治; 石橋 孝一郎; 新井 康夫
    電子情報通信学会, 出版日 2018年11月, 電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 118巻, 291号, 掲載ページ 59-64, 日本語, 0913-5685, 40021747494, AA1123312X
  • 急峻なSSを持つ"PN-Body Tied SOI-FET"を使った極低電圧整流実験 (シリコン材料・デバイス)—Experiment of Ultralow Voltage Rectification by Super Steep SS "PN-Body Tied SOI-FET"—集積回路
    百瀬 駿; 井田 次郎; 山田 拓弥; 森 貴之; 伊東 健治; 石橋 孝一郎; 新井 康夫
    電子情報通信学会, 出版日 2018年08月, 電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 118巻, 173号, 掲載ページ 31-34, 日本語, 0913-5685, 40021657845, AA1123312X
  • B-18-32 間欠動作センサネットワークシステムにおける低電力同期通信方式の検討(B-18.知的環境とセンサネットワーク,一般セッション)
    石垣 翔平; 石橋 孝一郎
    一般社団法人電子情報通信学会, 出版日 2016年03月01日, 電子情報通信学会総合大会講演論文集, 2016巻, 2号, 掲載ページ 530-530, 日本語, 110010038411, AN10471452
  • A-1-6 ルーターの間欠動作によるセンサネットワークシステムの低電力化(A-1.回路とシステム,一般セッション)
    諸橋 翔太朗; 石橋 孝一郎; 床井 義之; 伊良皆 千里
    一般社団法人電子情報通信学会, 出版日 2015年02月24日, 電子情報通信学会総合大会講演論文集, 2015巻, 掲載ページ 6-6, 日本語, 110009943757, AN10471452
  • C-10-9 くし歯型MEMS共振器の共振特性と蓄積エネルギー(C-10,電子デバイス,一般セッション)
    永村 真也; 石毛 剛志; 石橋 孝一郎
    一般社団法人電子情報通信学会, 出版日 2015年02月24日, 電子情報通信学会総合大会講演論文集, 2015巻, 2号, 掲載ページ 56-56, 日本語, 110009926950, AN10471452
  • 超低電圧0.4V動作SOTB-CMOS回路のダイ間遅延ばらつきを抑制する基板バイアス制御技術 (シリコン材料・デバイス)
    槇山 秀樹; 山本 芳樹; 尾田 秀一; 蒲原 史朗; 杉井 信之; 山口 泰男; 石橋 孝一郎; 水谷 朋子; 平本 俊郎
    薄膜BOX-SOI(SOTB)デバイスのように低ばらつきのトランジスタは動作電圧の低減に有効である。しかし、超低電圧領域で起こる伝播遅延時間(T_)ばらつきの急増が大きな課題である。本研究では、様々な論理回路のダイ問遅延ばらつきの抑制のために、P/N駆動カバランスを考慮した基板バイアス制御を提案し、実証した。, 一般社団法人電子情報通信学会, 出版日 2014年10月16日, 電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 114巻, 255号, 掲載ページ 61-68, 日本語, 0913-5685, 110009959306, AN10013254
  • P/N駆動力バランスを考慮した基板バイアス制御による超低電圧0.4V動作SOTB-CMOS回路のダイ間遅延ばらつき抑制 (シリコン材料・デバイス 先端CMOSデバイス・プロセス技術(IEDM特集))
    槇山 秀樹; 山本 芳樹; 篠原 博文; 岩松 俊明; 尾田 秀一; 杉井 信之; 石橋 孝一郎; 水谷 朋子; 平本 俊郎; 山口 泰男
    薄膜BOX-SOI(SOTB)デバイスのように低ばらつきのトランジスタは動作電圧低減に有効である。しかし,超低電圧領域で起こる伝播遅延時間(τ_)ばらつきの急増が大きな課題である。本研究では,様々な論理回路のダイ間遅延ばらつきの抑制のために,P/N駆動力バランスを考慮した基板バイアス制御を提案し,実証した。, 一般社団法人電子情報通信学会, 出版日 2014年01月29日, 電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 113巻, 420号, 掲載ページ 35-38, 日本語, 0913-5685, 110009825264, AN10013254
  • CT-2-1 低電圧・低電力技術の最新動向(CT-2.サブ0.5V時代に向けた低電圧・低電力メモリ技術,チュートリアルセッション,ソサイエティ企画)
    石橋 孝一郎
    一般社団法人電子情報通信学会, 出版日 2012年08月28日, 電子情報通信学会ソサイエティ大会講演論文集, 2012巻, 2号, 掲載ページ "SS-12", 日本語, 110009593932, AN10489017
  • 薄膜MOSトランジスタを用いた40nm CMOS 高速応答デジタルLDOレギュレータ
    小野内 雅文; 大津賀 一雄; 五十嵐 康人; 池谷 豊人; 森田 貞幸; 石橋 孝一郎; 柳沢 一正
    薄膜MOSトランジスタを用いた40nm CMOS高速応答デジタルLDOレギュレータを試作した。試作LDOでは印加電圧緩和技術を適用することで,薄膜MOSの通常印加電圧以上の電圧入力を実現している。このことにより,試作LDOの最大出力電圧を薄膜MOSの通常印加電圧としている。さらに, (1)薄膜MOS採用による出力MOSの1GHz高速切り替え, (2)出力MOS段数の削減により,負荷変動への高速応答を実現し,出力キャパシタを不要としている。特に, (2)では新規ゲート幅設定技術を適用することで幅広い負荷電流範囲(400μA-250mA)をわずか56段の出力MOSで対応している(従来比78%減)。試作LDOを40nm CMOSプロセスで試作・評価した結果,面積は0.057mm^2,負荷応答時間は0.07μsであった。, 一般社団法人電子情報通信学会, 出版日 2012年07月26日, 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 112巻, 169号, 掲載ページ 105-110, 日本語, 0913-5685, 110009627093, AN10013254
  • スケーリング則から見た低電力技術とその方向(集積回路とアーキテクチャの協創〜ノーマリオフコンピューティングによる低消費電力化への挑戦〜)
    石橋 孝一郎
    ムーアの法則によるLSIの高集積化は.スケーリング則による高速化、低電力化の恩恵も享受しながら、新しいアプリケーションを次々に生み出してきた。スケーリング則による低電力化は、ITC社会の進展をもたらす源泉であった。しかし2000年以後、トランジスタのリーク電流とばらつきが増加し、世代ごとに電源電圧を下げる従来のスケーリング則が維持できなくなった。このため、電力の増加が危惧されたが、多くの低電力技術が開発されて電力問題を克服して現在に至っている。マルチCPU方式は、低電力化技術の現在のトレンドであるが、近い将来にはマルチCPUによる低電力化には限界があることが予想される。このため90年代のトレンドのように、電源電圧をさらに下げ、0.4Vほどまで下げる必要がある。FINFETやSOTBのような新しいデバイス構造の開発も含め、回路レベル、アーキテクチャレベルでこの課題に挑戦する必要がある。, 一般社団法人電子情報通信学会, 出版日 2012年01月12日, 電子情報通信学会技術研究報告. ICD, 集積回路, 111巻, 388号, 掲載ページ 21-22, 日本語, 110009481167, AN10013276
  • アクティブディキャップを用いた電源共振雑音低減手法
    金 鎮明; 名倉 徹; 高田 英裕; 石橋 孝一郎; 池田 誠; 浅田 邦博
    本研究はDVSシステムにおいて高速ホッピングで発生する電源共振雑音を低減するための回路技術を利用したディキャップ増幅手法を提案する。電源電圧状態に応じてディキャップをスイッチング制御することによって従来の受動ディキャップと比べ、より効果的に電源共振雑音低減と電源電圧安定時間向上が期待できる。0.18μm CMOSプロセスを利用して試作したテストチップの測定結果、65.8%の雑音低減効果と96%の電源電圧安定時間向上を確認した。これは従来ディキャップと比較して12倍の容量増幅効果である。, 一般社団法人電子情報通信学会, 出版日 2011年07月14日, 電子情報通信学会技術研究報告. ICD, 集積回路, 111巻, 151号, 掲載ページ 69-72, 日本語, 0913-5685, 110008800873, AN10013276
  • 複数IPコア回路におけるスリープブロックの寄生容量を用いたチップ内電源共振雑音低減手法
    金 鎮明; 名倉 徹; 高田 英裕; 石橋 孝一郎; 池田 誠; 浅田 邦博
    複数IP回路中にあるスリープブロックの寄生容量を用いた電源共振雑音低減手法を提案した.内部回路そのものが持っている寄生容量を用いて電源雑音を抑えるため、既存のオンチップMOSゲートコンデンサより小面積ながらも効果的に電源雑音をキャンセルすることが可能である.0.18μm CMOSプロセスを用いて試作したテストチップの実測結果から急激な電源が変動する場合とあるブロックをスリープ状態からアクティブ状態に遷移する場合に発生する電源共振雑音を各々43.3%と12.5%低減できることを確認した.このような結果から動的電源制御(DVS)とパワーゲーティングを適用することにあたって速いパワーモード遷移が期待される., 一般社団法人電子情報通信学会, 出版日 2010年08月19日, 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 110巻, 182号, 掲載ページ 1-4, 日本語, 0913-5685, 110008094989, AN10013254
  • 遅延予測技術を用いたDVFS制御向け広周波数・電源電圧レンジクロック同期回路
    小野内 雅文; 菅野 雄介; 佐圓 真; 小松 成亘; 安 義彦; 石橋 孝一郎
    モジュール単位のDVFS制御を実施する際に,電源電圧変更中のモジュール間の同期を維持するクロック同期回路の試作・評価を行った。この同期回路はDVFS制御中の電源電圧が単調変化することを利用し,クロックの伝播遅延の変化を予測し測定範囲を限定することで面積削減を実現している。その結果,従来方式の同期回路と比べて面積は77%削減され,40nmのCMOSプロセスでは5.65×10^<-3>mm^2となった。また,数百mVの電圧変更レンジと,数n秒に及ぶクロックの伝播遅延の変動に対応するため,幅広い振幅を持つ入力クロックの位相関係を少ない誤差で判定する振幅位相比較器,そして,幅広いレンジの遅延時間を高精度に変更する可変遅延段も開発した。試作回路を測定した結果,2つのモジュールの電源電圧のうち,一方を固定したまま,他方を-300mVの範囲で遷移させた場合,周波数100MHz-1GHzにおいて,モジュール間スキューをクロック周期の6.8%以下に抑制できることを確認した。また,印加電圧1.1V,動作周波数100MHzにおけるクロック同期回路の消費電流はわずか0.48mAであった。, 一般社団法人電子情報通信学会, 出版日 2010年05月12日, 電子情報通信学会技術研究報告. VLD, VLSI設計技術, 110巻, 36号, 掲載ページ 67-72, 日本語, 0913-5685, 110008001513, AN10013323
  • 招待講演 A 65nm embedded SRAM with wafer level burn-in mode, leak-bit redundancy and E-trim fuse for known good die (集積回路)
    Ohbayashi Shigeki; Yabuuchi Makoto; Kono Kazushi; ODA Yuji; IMAOKA Susumu; USUI Keiichi; YONEZU Toshiaki; IWAMOTO Takeshi; NII Koji; TSUKAMOTO Yasumasa; ARAKAWA Masashi; UCHIDA Takahiro; MAKINO Hiroshi; ISHIBASHI Koichiro; SHINOHARA Hirofumi
    We propose a wafer level burn-in (WLBI) mode, a leak-bit redundancy and a small, highly reliable Cu E-trim fuse repair scheme for an embedded 6T-SRAM to achieve a KGD-SoC. We febricated a 16M-SRAM with these techniques using 65 nm LSTP technology, and confirmed its efficient operation. The WLBI mode has almost no area penalyy and a speed penalty of only 50 ps. The leak-bit redundancy area penalty is less than 2%., 一般社団法人電子情報通信学会, 出版日 2007年04月05日, 電子情報通信学会技術研究報告. ICD, 集積回路, 107巻, 1号, 掲載ページ 59-64, 英語, 0913-5685, 110006272865, AN10013276
  • PTI-ABC SOIを用いた低消費電力SOC設計 : ボディバイアスコントロールによるばらつきを抑えた低消費電力回路設計
    小澤 治; 福岡 一樹; 五十嵐 康人; 倉石 孝; 安 義彦; 牧 幸生; 一法師 隆志; 落合 俊彦; 白畑 正芳; 石橋 孝一郎
    SOCにおいてPTI-ABC SOI構造を用いることでレイアウト面積や寄生容量の増加なく選択的にボディバイアスのコントロールが行える低電圧動作回路システムを開発した。フォワードバイアスの印加によりCore Logicは遅延時間を28-48%小さくでき、さらにばらつきは26-32%減少させることができる。また低電力回路システムに必要なレベルシフタ、パワースイッチ/データ保持FFなどの要素回路もトランジスタに適切なボディバイアスを印加する事により低電圧でも動作が可能となった。そのため90nm LSTPテクノロジで処理速度を維持しつつ標準電源電圧を1.2→1.0Vに下げることが出来る。その結果、SOCの動作電力を40%、スタンバイ電力については98%削減可能である。, 一般社団法人電子情報通信学会, 出版日 2006年12月07日, 電子情報通信学会技術研究報告. ICD, 集積回路, 106巻, 425号, 掲載ページ 115-119, 日本語, 0913-5685, 110006163156, AN10013276
  • 局所的な閾値電圧ばらつきに対するSRAM安定動作解析手法
    塚本 康正; 新居 浩二; 今岡 進; 小田 祐士; 大林 茂樹; 薮内 誠; 牧野 博之; 石橋 孝一郎; 篠原 尋史
    サブ100nm世代のCMOSプロセスでは、ドーパント揺らぎ等に起因した局所的な閾値電圧のばらつき(σ_)を無視できない。特にSoCに搭載されるSRAMは微細なMOSFETを用いるためσ_は大きく、スケーリングに伴うσ_増加により性能劣化や歩留低下が懸念されている。したがって、局所ばらつきを考慮して歩留向上を目指すSRAMセル設計は必須である。本講演では、SRAMにおけるσ_を数学的にモデル化して、SRAMの読み出し、書き込み安定動作解析方法について論じる。, 一般社団法人電子情報通信学会, 出版日 2006年04月06日, 電子情報通信学会技術研究報告. ICD, 集積回路, 106巻, 2号, 掲載ページ 95-100, 英語, 0913-5685, 110004718934, AN10013276
  • リーク電流と動作電力を低減できる電源スイッチ回路
    山下 高廣; 藤本 徹哉; 石橋 孝一郎
    微細プロセスにおいてゲートリークおよびサブスレッショルドリークが問題となっており、電源スイッチがこれらの低減に有効である。複雑なプロセスや面積オーバーヘッドを伴うデュアルVth、IOトランジスタを用いた方式が知られているが、本稿では、これらの方式と同程度のリーク電流をロジック用トランジスタを使って実現する手法を提案する。また、本提案のスイッチ回路により、動作時の電力も同時に削減できる。0.13μmテクノロジーにてチップを試作し、16bit乗算器の50MHz動作時に36.0%の電力を削減できることを示した。, 一般社団法人電子情報通信学会, 出版日 2004年08月13日, 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 104巻, 249号, 掲載ページ 7-12, 日本語, 0913-5685, 110003311155, AN10013254
  • 増大するプロセッサの消費電力(1) - 省電力化のセオリーとは
    石橋孝一郎
    出版日 2003年04月, Web 雑誌 マイコミジャーナル, 掲載ページ 2003/4/4, 日本語, 記事・総説・解説・論説等(その他)
  • 増大するプロセッサの消費電力(2) - 基板バイアス技術を採用
    石橋孝一郎
    出版日 2003年04月, Web 雑誌 マイコミジャーナル, 掲載ページ 2003/4/4, 日本語, 記事・総説・解説・論説等(その他)
  • 高対称型メモリセルおよびアレイ微昇圧方式を用いた0.4V動作SRAM
    山岡 雅直; 長田 健一; 石橋 孝一郎
    低電源電圧で動作するSRAMとして、高対称型メモリセルとアレイ微昇圧方式を用いたSRAMアレイを設計した。高対称型メモリセルでは、βレシオが1.0となっており、これによってメモリセル内の拡散領域が矩形となるためLSI製造時のばらつきの影響を小さくすることが可能となる。また低電圧下ではSRAMメモリセルのスタティックノイズマージンが減少するが、アレイ微昇圧方式を用いることによって減少したスタティックノイズマージンを補償した。高対称型メモリセルとアレイ微昇圧方式を組み合わせた32kBのテストチップを試作し、0.4Vでの動作を確認した。0.4V時の動作周波数は4.5MHzであり、動作中の消費電力は140μW、スタンバイ電流は0.9μAとなった。, 一般社団法人電子情報通信学会, 出版日 2002年08月16日, 電子情報通信学会技術研究報告. ICD, 集積回路, 102巻, 274号, 掲載ページ 59-64, 日本語, 0913-5685, 110003494090, AN10013276
  • 高対称型メモリセルおよびアレイ微昇圧方式を用いた0.4V動作SRAM
    山岡 雅直; 長田 健一; 石橋 孝一郎
    低電源電圧で動作するSRAMとして、高対称型メモリセルとアレイ微昇圧方式を用いたSRAMアレイを設計した。高対称型メモリセルでは、βレシオが1.0となっており、これによってメモリセル内の拡散領域が矩形となるためLSI製造時のばらつきの影響を小さくすることが可能となる。また低電圧下ではSRAMメモリセルのスタティックノイズマージンが減少するが、アレイ微昇圧方式を用いることによって減少したスタティックノイズマージンを補償した。高対称型メモリセルとアレイ微昇圧方式を組み合わせた32kBのテストチップを試作し、0.4Vでの動作を確認した。0.4V時の動作周波数は4.5MHzであり、動作中の消費電力は140μW、スタンバイ電流は0.9μAとなった。, 一般社団法人電子情報通信学会, 出版日 2002年08月16日, 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 102巻, 272号, 掲載ページ 59-64, 日本語, 0913-5685, 110003494056, AN10013254
  • 90-65nmテクノロジーに対応できるオンチップメモリは?
    石橋 孝一郎; 川嶋 将一郎; 平木 充; 中瀬 泰伸; 石井 智之; 杉林 直彦; 宮野 信治
    システムLSIでは、テクノロジーの進展と共に、オンチップメモリの占める割合が大きくなると予想されている。その結果、大容量のメモリが搭載されるようになり、システムの高性能化、低電力化が期待される。一方、テクノロジーの進展は、微細化や低電圧化等も同時に進むことになり、これに対応できるオンチップメモリが求められる。本パネルディスカヅションでは、各種オンチップメモリの専門家をお招きし、90-65nmテクノロジーを念頭に、現在提案されている各種オンチップメモリの得失を議論する。, 一般社団法人電子情報通信学会, 出版日 2002年04月05日, 電子情報通信学会技術研究報告. ICD, 集積回路, 102巻, 3号, 掲載ページ 39-42, 日本語, 0913-5685, 110004024908, AN10013276

書籍等出版物

  • 環境発電ハンドブック第2版 第4章電磁波発電と無線電力伝送 1環境電波からのエネルギーハーべスティング技術
    石橋孝一郎; Nguyen Thuy Linh
    日本語, 共著, 第4章電磁波発電と無線電力伝送, ㈱エヌ・ティー・エス, 出版日 2021年10月29日
  • 環境発電ハンドブック
    石橋孝一郎; Nguyen Thuy Linh
    学術書, 日本語, 分担執筆, 第4章電磁波発電と無線電力伝送, 株式会社 エヌ ティー エス, 出版日 2021年10月29日
  • 環境発電ハンドブック 第2版
    石橋孝一郎; Nguyen Thuy Linh
    学術書, 日本語, 共著, 第4章 電磁波発電と無線電力伝送(マイクロ波とワイヤレス給電), 株式会社エヌ・ティー・エス, 出版日 2021年10月29日
  • Low Power and Reliable SRAM Memory Cell and Cell Array Design
    Koichiro Ishibashi; Kenichi Osada; Masanao Yamaoka; Eishi Ibe; Koji Nii; Tsukamoto Yasumasa
    英語, 編者(編著者), Springer Science + Business Media, 出版日 2011年10月
  • SRAMの低電力化技術
    石橋孝一郎
    日本語, 共著, 低消費電力、高速LSI技術(桜井貴康編集委員長の第2章), リアライズ社, 出版日 1998年
  • Layered Structures and Interface Kinetics:Their Technology and Applications
    Koichiro Ishibashi; Seijiro Furukawa
    英語, 共著, Formation of Smooth CoSi2 films by Solid Phase Epitaxy, KTK Scientific Publishers/Tokyo, D. Reidel Publishing Company/Dordrechit, Boston, London, 出版日 1985年

講演・口頭発表等

  • Bacteria Classification by small scale Deep Learning
    Koichiro Ishibashi
    口頭発表(招待・特別), 英語, NICS 2022, 招待, ホーチミン, 国際会議
    発表日 2022年10月31日
  • Low power Wake up Receiver for IoT
    Koichiro Ishibashi
    口頭発表(招待・特別), 英語, ICICDT 2022, 招待, ハノイ, 国際会議
    発表日 2022年09月24日
  • RFエネルギーハーベスティング技術とIOT応用の新展開
    口頭発表(招待・特別), 日本語, 応用物理学会 2022, 招待, 東北大学, 国内会議
    発表日 2022年09月20日
  • Deep Learning Approach for classifying Bacteria Types Using morphology of Bacterial Colony
    口頭発表(一般), 英語, EMBC2022, EMBC2022, イギリス グラスゴー
    発表日 2022年07月13日
  • HNSignal Processing of I and Q Output From Doppler Radar to Acquire Vital Signs
    Prof. Koichiro Ishibashi
    口頭発表(招待・特別), 英語, Keynote Speech Workshop on Intelligent Signal Processing for Communications, 招待
    発表日 2022年06月16日
  • 低電力LoRaモジュールの各低電力モードによる間欠動作の低電力化
    吉川祐太; 石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会総合大会, online
    発表日 2022年03月
  • Possibility of Beat Sensors with LoRa Powered by RF Energy Harvesting
    Koichiro Ishibashi; Tran Tuan Anh; Shuntaro Saku
    口頭発表(招待・特別), 英語, International Workshop on Convergence Platform for IoT Based Smart Monitoring Systems, Conference room, 9th Floor, S1 building, Le Quy Don Technical University (LQDTU), No. 236 Hoang Quoc Viet Str., Hanoi, Vietnam, online, 国際会議
    発表日 2021年12月23日
  • A Sub uW and 14bit Resolution Temperature Sensor for IoT Using Thermistor-Defined TDC
    Hung-NGUYEN; TRONG,Van-TRUNG NGUYEN; Koichiro ISHIBASHI
    口頭発表(一般), 英語, 電子情報通信学会総合大会通信学会 研究会 デザインガイア2021 -VLSI設計の新しい大地, online
    発表日 2021年12月
  • nW級 920 MHz WuRxの研究
    柴崎周人
    口頭発表(一般), 日本語, 東京工業大学 MCRG - 電気通信大学 AWCC Open House, online
    発表日 2021年11月
  • RFEH電源で動作するsub uW 1chip温度センサRFタグの研究,
    大塚健吾
    口頭発表(一般), 日本語, 東京工業大学 MCRG - 電気通信大学 AWCC Open House 2021, online
    発表日 2021年11月
  • Bacteria Shape Classification using Small-Scale Depth-wise Separable CNNs
    Duc-Tho Mai; Koichiro Ishibashi
    口頭発表(一般), 英語, 43rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, online, https://embc.embs.org/2021/
    発表日 2021年11月01日
  • MCU Process Vital Sign Acquisition using Contactless Doppler Radar
    Koichiro Ishibashi
    口頭発表(一般), 英語, TECHNICAL PROGRAM IN DETAIL Symposium on Computer Science and Engineering, TECHNICAL PROGRAM IN DETAIL Symposium on Computer Science and Engineering, Ho Chi Minh City, Vietnam,
    発表日 2021年10月23日
  • Infectious Disease Screening system using Medical Radar and Data Quality Assessment by Efficient Neural Network Hardware
    Koki Kumagai; Duc-Tho Mai; Guanghao Sun; Koichiro Ishibashi
    口頭発表(一般), 英語, TECHNICAL PROGRAM IN DETAIL Symposium on Computer Science and Engineering, Ho Chi Minh City, Vietnam/ Online
    発表日 2021年10月23日
  • Infectious Disease Screening system using Medical Radar and Data Quality Assessment by Efficient Neural Network Hardware
    Koki Kumagai; Duc-Tho Mai; Koichiro Ishibashi
    口頭発表(一般), 英語, SCSE 2021, Ho Chi Minh City
    発表日 2021年10月22日
  • MCU Process Vital Sign Acquisition using Contactless Doppler Radar
    Koichiro Ishibashi; Hideyuki Tsujimoto
    口頭発表(招待・特別), 英語, SCSE 2021, 国際会議
    発表日 2021年10月22日
  • 電波発電のためレクテナとIoT応用技術
    石橋孝一郎
    その他, 日本語, JST(科学技術推進機構) CRESTブース内, CEATEC 2021 Online, Online
    発表日 2021年10月19日
  • A Low‐Power Low‐Area SoC based in RISC‐V Processor for IoT Applications
    Ronaldo Serrano; Marco Sarmiento; Ckristian Duran; Khai‐Duy Nguyen; Trong‐Thuc Hoang; Koichiro Ishibashi; Cong‐Kha Pham
    口頭発表(一般), 英語, International SoC Design Conference 2021, Ramada Plaza Jeju Hotel, Jeju, Korea
    発表日 2021年10月08日
  • 高精度、長時間動作、長距離通信を実現するBeat Sensor技術
    石橋孝一郎
    公開講演,セミナー,チュートリアル,講習,講義等, 日本語, JEITA スマートセンシング・デバイス融合技術分科会
    発表日 2021年09月11日
  • PV and RF Hybrid Energy Harvesting Power Supply
    Shuntaro Saku; Koichiro Ishibashi
    口頭発表(一般), 英語, NICT ASEAN IVO Workshop, Hanoi(online)
    発表日 2021年08月06日
  • T Beat Sensors for monitoring CO2 to detect luck of ventilation in Covid-19 environment
    Koichiro Ishibashi
    口頭発表(一般), 英語, NICT ASEAN IVO Workshop, 招待, Hanoi, Vietnam, online, 国際会議
    発表日 2021年08月06日
  • Bacteria Shape Recognition with the Kotobuki’s model
    Duc-Tho Mai; Koichiro Ishibashi
    口頭発表(一般), 英語, 第60回日本生体医工学会, オンライン開催
    発表日 2021年06月17日
  • Transfer Characteristics of CMOS Inverter using "Steep SS PN-Body Tied SOI-FET"
    Shota Ishiguro; Jiro Ida; Takayuki Mori; Koichiro Ishibashi
    口頭発表(一般), 英語, 2021 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan
    発表日 2021年04月19日
  • Analysis of Drain Current Enhancement in “PN-Body Tied SOI-FET
    Hiroki Ito; Jiro Ida; Takayuki Mori; Koichiro Ishibashi
    口頭発表(一般), 英語, 2021 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan
    発表日 2021年04月19日
  • Infection Diseases Screening System by Contactless Radar and Machine Learning AI
    Koichiro Ishibashi
    口頭発表(一般), 英語, JST日台研究交流「AIシステム構成に資するナノエレクトロニクス技術」 ワークショップ, 招待, JST日台研究交流「AIシステム構成に資するナノエレクトロニクス技術」 ワークショップ, online, 国内会議
    発表日 2021年04月13日
  • Low-Power and Long-Range Water Level Monitoring Beat Sensor with LoRa modules
    Maki Kajiura; Yuta Yoshikawa; Koichiro Ishibashi
    口頭発表(一般), 英語, Advanced Wireless Communications, Energy Harvesting and IoT Sensors for Smart Monitoring Systems, Hanoi, Vietnam (On line)
    発表日 2021年03月27日
  • The Project for Industrialization of RF Energy Harvesting Technology by JST CREST
    Koichiro Ishibashi; Jiro Ida; Kenji Itoh; Shigeru Makino; Ryo Ishikawa; Koji Ishibashi
    口頭発表(一般), 英語, Advanced Wireless Communications, Energy Harvesting and IoT Sensors for Smart Monitoring Systems, Hanoi, Vietnam, (On line)
    発表日 2021年03月27日
  • The project for industrialization of RF Energy Harvesting Technology by JST CREST
    Koichiro Ishibashi
    口頭発表(招待・特別), 英語, ICT Virtual Organization of ASEAN Institutes and NICT ASEAN IVO, Hanoi, Vietnam, online, 国際会議
    発表日 2021年03月26日
  • Low-Power and Long-Range Water Level Monitoring Beat Sensor with LoRa modules
    Maki Kajiura; Koichiro Ishibashi
    口頭発表(一般), 英語, ICT Virtual Organization of ASEAN Institutes and NICT ASEAN IVO
    発表日 2021年03月26日
  • マイコンを用いたドップラーレーダ信号による高精度心拍検出
    辻本英之; 石橋孝一郎; 孫光鎬
    口頭発表(一般), 日本語, 2021 年 電子情報通信学会総合大会, on line
    発表日 2021年03月12日
  • CRESTにおけるRFエネルギーハーベスティング技術の開発
    石橋孝一郎; 井田次郎; 伊東健治; 牧野滋; 石川亮; 石橋功至
    その他, 日本語, JEITA IoT向けエネルギーハーベスティングの動向と標準化セミナー
    発表日 2021年01月14日
  • Effects of Modulated Waveform on RF Energy Harvesting
    Linh Thuy Nguyen; Luong Duy Manh; Koichiro Ishibashi
    口頭発表(一般), 英語, International Conference on Green and Human Information Technology ICGHIT2021, jeju Island, Korea
    発表日 2021年01月13日
  • 非接触医用レーダと品質評価機械学習による高信頼感染症スクリーニング
    熊谷洸貴; 石橋孝一郎; 孫 光鎬
    口頭発表(一般), 日本語, 電子情報通信学会、ニューロコンピューティング研究会(NC)
    発表日 2020年12月18日
  • Development of Infection Diseases Screening System by Collaboration between Vietnam and Japan
    口頭発表(招待・特別), 英語, Vietnamese Academic Network in Japan (VANJ Conference 2020), 招待, Tokyo Japan. (On line), 国際会議
    発表日 2020年11月28日
  • Non-contact Heartbeat Detection by using CW-Doppler Radar under Respiratory Artifact
    Yuki Iwata; Koichiro Ishibashi; Guanghao Sun; Luu Manh Ha; Han Trong Thanh; Nguyen Linh Trung; Do Trong Tuan
    口頭発表(一般), 英語, The 2nd ASEAN UEC Work Shop on AI and Energy, Bandung, Indonesia (Virtual)
    発表日 2020年11月21日
  • nergy harvesting from environment RF for IoT applications
    口頭発表(招待・特別), 英語, International Conference on ICT for Smart Society (ICISS 2021), Bandung, Indonesia (on line), 国際会議
    発表日 2020年11月19日
  • Energy Harvesting from Environment RF for IoT Applications
    口頭発表(招待・特別), 英語, 2020 International Conference on Advanced Technologies for Communications (ATC 2020), Nha Trang, Vietnam, 国際会議
    発表日 2020年10月01日
  • 急峻な SS を持つ“PN-Body Tied SOI-FET”のCMOS インバータ伝達特性
    石黒 翔太; 井田 次郎; 森 貴之; 石橋 孝一郎
    口頭発表(一般), 日本語, 一般社団法人 電子情報通信学会 信学技報, THE INSTITUTE OF ELECTRONICS, IEICE Technical Report INFORMATION AND COMMUNICATION ENGINEERS, 2020
    発表日 2020年08月
  • CR-SSAによる呼吸及び体動下での非接触な心拍検出
    岩田 勇樹; 石橋 孝一郎; 孫 光鎬; ルー マンハ; ハン チョンタイン; グエン リンチュン; ド チョントゥアン
    口頭発表(一般), 日本語, 第59回日本生体医工学会, 岡山大学 (on line)
    発表日 2020年05月27日
  • 低電力温度センサーRF TAGの特性
    熊谷慎也; 石橋孝一郎
    ポスター発表, 日本語, 電子情報通信学会総合大会, 電気情報通信学会, 広島大学
    発表日 2020年03月20日
  • Loraを用いたBeat 方式ダストセンサ
    吉川祐太; 石橋孝一郎
    ポスター発表, 日本語, 2020年電子情報通信学会総合大会, 電子情報通信学会, 広島大学
    発表日 2020年03月20日
  • "Super steep SS “PN-Body tied SOI-FET” with 65 nm thin Box FD-SOI"
    Keita Daimatsu; Jiro Ida; Takuya Yamada; Takayuki Mori; Koichiro Ishibashi
    口頭発表(一般), 英語, IEEE ICTA, 2019, Chengdu, China
    発表日 2019年12月13日
  • Vital Sign Acquisition Using Doppler Radar under Random Body Movements Rejected by Pca Algorithm
    Yuki Iwata; Koichiro Ishibashi; Guaghao Sun
    口頭発表(一般), 英語, ICBME 2019, The 17th International Conference on Biomedical Engineering, Singapore
    発表日 2019年12月10日
  • Vital Sign Acquisition using Doppler Radar under Random Body Movements rejected by PCA Algorithm
    Yuki Iwata; Koichiro Ishibashi; Guanghao Sun
    ポスター発表, 英語, ICBME 2019, Singapore, https://icbme.org
    発表日 2019年12月09日
  • RFエネルギーハーベスティング向けDCDCコンバータの検討
    ムンフツォグ ムンフズル; 石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会 デザインガイア, 電子情報通信学会, 松山
    発表日 2019年11月15日
  • RFエネルギーハーベスティング向け DCDCコンバータの検討
    ムンフツォグ; ムンフズル 石橋; 孝
    口頭発表(一般), 日本語, デザインガイア 2019 (IEICE 研究会), 愛媛 松山, https://www.ieice.org/ken/program/index.php?tgs_regid=e315e43d77dccea547ec3d6d1f427eaaa8b461ec138ab234fbdae60a0be4ff0a&tgid=IEICE-VLD
    発表日 2019年11月15日
  • " Effect of Vsub and Positive Charge in Buried Oxide on Super Steep SS“PN Body-Tied SOI-FET” and Proposal of CMOS without Vsub Bias "
    Wataru Yabuki; Jiro Ida; Takayuki Mori; Koichiro Ishibashi; Yasuo Arai
    口頭発表(一般), 英語, IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (IEEE S3S)
    発表日 2019年10月14日
  • RF Characteristics of Rectifier Devices for Ambient RF Energy Harvesting
    Koichiro Ishibashi; Jiro Ida; Linh-Thuy Nguyen; Ryo Ishikawa; Yasuo Satoh
    口頭発表(招待・特別), 英語, 2019 International Symposium on Electronics and Smart Devices (ISESD), ISESD, インドネシア, 国際会議
    発表日 2019年10月08日
  • RF Characteristics of Rectifier Devices for Ambient RF Energy Harvesting
    K. Ishibashi; J. Ida; Linh-Thuy Nguyen; Ryo Ishikawa; Y. Satoh; D. M. Luong
    口頭発表(招待・特別), 英語, IEEE International Symposium on Electronics and Smart Devices, 2019, Bali, Indonesia, 国際会議
    発表日 2019年10月07日
  • Super steep SS “PN-Body tied SOI-FET” with 65 nm thin Box FD-SOI
    Keita Daimatsu; Jiro Ida; Takuya Yamada; Takayuki Mori; Koichiro Ishibashi
    口頭発表(一般), 英語, IEEE ICTA, IEEE, Chengdu, China
    発表日 2019年10月
  • Effect of Vsub and Positive Charge in Buried Oxide on Super Steep SS“PN Body-Tied SOI-FET” and Proposal of CMOS without Vsub Bias
    Wataru Yabuki; Jiro Ida; Takayuki Mori; Koichiro Ishibashi; Yasuo Arai
    口頭発表(一般), 英語, IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (IEEE S3S),, IEEE, San Francisco
    発表日 2019年10月
  • 急峻なSSを持つ"PN Body-Tied SOI-FET"におけるBOXの中の正電荷と基板バイアスの影響
    シンポジウム・ワークショップパネル(公募), 日本語
    発表日 2019年08月19日
  • 極急峻なSSを持つ"PN-Body Tied SOI-FET"を使った極低電力カレクテナ
    シンポジウム・ワークショップパネル(公募), 日本語, ICD 集積回路研究会, 北海道 札幌
    発表日 2019年08月19日
  • 急峻なSSを持つ“PN Body-Tied SOI-FET”におけるBOX中の固定電荷と基板バイアスの影響
    口頭発表(一般), 日本語, 電子情報通信学会
    発表日 2019年08月09日
  • 極急峻SSを持つ"PN-Body Tied SOI-FET"を使った極低電力レクテナ
    口頭発表(一般), 日本語, 電子情報通信学会
    発表日 2019年08月09日
  • Beat Sensors for Monitoring Environments IoT Sensors Which Persistently Operate in Environments
    口頭発表(招待・特別), 英語, ECTI-CON, 招待, タイ パタヤ, 国際会議
    発表日 2019年06月19日
  • ドップラーレーダを用いた連続非接触血圧測定
    口頭発表(一般), 日本語, 日本生体医工学会, 沖縄 那覇
    発表日 2019年06月19日
  • First Experimental Confirmation of Transient Effect on Super Steep SS “PN-Body Tied SOI FET” with Pulse Measurements
    H. Endo; J. Ida; T. Mori; K. Ishibashi; Y. Arai
    口頭発表(一般), 英語, IEEE Electron Devices Technology and Manufacturing Conference (EDTM), Singapore, http://ewh.ieee.org/conf/edtm/2019/
    発表日 2019年03月13日
  • Dengue Fever Screening Using Vital Signs by Contactless Microwave Radar and Machine Learning
    Xiaofeng Yang; Koki Kumugai; Guanghao Sun; Koichiro Ishibashi; Le Thi Hoi; Nguyen Vu Trung; Nguyen Van Kinh
    口頭発表(一般), 英語, 2019 IEEE Sensors Applications Symposium, France
    発表日 2019年03月11日
  • スーパースティープトランジスタ整流器を用いたAMラジオ波からの発電
    ポスター発表, 日本語, 国際ナノテクノロジー総合展・技術会議, 東京ビッグサイト
    発表日 2019年01月30日
  • スーパースティープトランジスタ整流器を⽤いたAMラジオ波からの発電 JST 戦略的創造研究推進事業「微⼩エネルギーを利⽤した⾰新的な環境発電技術の創出」CREST・さきがけ複合領域
    石橋孝一郎; 佐藤康夫; 井田次郎; 伊東健治
    ポスター発表, 日本語, 早稲田大学公開シンポジウム
    発表日 2018年11月07日
  • SOTBプロセスでDTMOS構造を用いたクロスカップルブリッジ型整流昇圧回路を用いたRFエネルギーハーベスティング" JST 戦略的創造研究推進事業「微⼩エネルギーを利⽤した⾰新的な環境発電技術の創出」CREST・さきがけ複合領域
    石橋孝一郎
    ポスター発表, 日本語, 早稲田大学公開シンポジウム, 早稲田大学
    発表日 2018年11月07日
  • First Experimental Confirmation of Ultralow Voltage Rectification by Super Steep Subthreshold Slope “PN-Body Tied SOI-FET” for High Efficiency RF Energy Harvesting and Ultralow Voltage Sensing
    S. Momose; J. Ida; T. Yamada; T. Mori; K. Itoh; K. Ishibashi; Y. Arai
    口頭発表(一般), 英語, IEEE S3S Conference, IEEE, San Francisco, USA, http://s3sconference.org/, 国際会議
    発表日 2018年10月16日
  • Beat sensors for long life IoT applications
    Koichiro Ishibashi
    口頭発表(招待・特別), 英語, EuroSciCon Wireless and Printing Technology 2018, 招待, EuroSciCon, Lisbon, 国際会議
    発表日 2018年09月17日
  • Beat Sensors for Long Life IoT Applications
    Koichiro Ishibashi; Ryohei Takitoge; Duangchak Manyvone
    口頭発表(招待・特別), 英語, EuroSciCon Conference on 3D Printing and Wireless Technology, Lisbon, 国際会議
    発表日 2018年09月
  • 急峻なSSを持つ"PN-body Tied SOI-FET"を使ったごく低電圧整流実験
    百瀬 駿; 井田次郎; 山田拓弥; 森 貴之; 伊東健治; 石橋孝一郎; 新井康夫
    口頭発表(一般), 日本語, 集積回路研究会(ICD), 北海道大学, 国内会議
    発表日 2018年08月07日
  • A 65nm SOTB Based-On Code-Modulated Synchronized-OOK Transmitter for Normally-OFF Wireless Sensor Networks
    Van-Trung Nguyen; Ryo Ishikawa; koichiro Ishibashi
    口頭発表(一般), 英語, 集積回路研究会(ICD), 北海道大学, 国内会議
    発表日 2018年08月07日
  • エネルギーハーべスティングBestSensorと応用の可能性~低電力、低コスト、高精度IoTセンサの提案
    石橋孝一郎
    口頭発表(招待・特別), 日本語, 集積回路研究会(ICD), 招待, 北海道大学, 国内会議
    発表日 2018年08月07日
  • エネルギーハーベスティングBeat Sensorと応用の可能性 ~ 低電力、低コスト、高精度IoTセンサの提案 ~
    口頭発表(招待・特別), 日本語, 信学技報, vol. 118, no. 291, SDM2018-76, pp. 59-64, 電子情報通信学会 集積回路研究会, 札幌, 国内会議
    発表日 2018年08月07日
  • "Wireless and Low-Power Water Quality Monitoring Beat Sensors For Agri and Acqua-Culture IoT Applications"
    Duangchak Manyvone; Ryohei Takitoge; Koichiro Ishibashi
    口頭発表(一般), 英語, ECTI-COM2018, Chiang Rai, Thailand, 国際会議
    発表日 2018年07月18日
  • Continuous Cuffless Systolic Blood Pressure Monitoring Scheme Using PPG Sensor and Doppler Radar
    Ohata,Tomoyuki; Ishibashi Koichiro; Sun Guanghao
    口頭発表(一般), 英語, EMBC'2018, Honolulu、Hi,USA, 国際会議
    発表日 2018年07月17日
  • Dengue Fever Detecting System Using Peak-Detection of Data from Contactless Doppler Radar
    Yang XiaoFeng; Ishibashi, Koichiro; Sun, Guanghao
    口頭発表(一般), 英語, EMBC'2018, Honolulu, HI, USA, 国際会議
    発表日 2018年07月17日
  • "Cross-couple DTMOS Rectifier with Floating sub-circuit using 65nm SOTB CMOS technology for uW RF Energy Harvesting"
    Shiho TAKAHASHI; Thuy-Linh NGUYEN; Yasuo SATO; Koichiro ISHIBASHI
    口頭発表(一般), 英語, TJMW2018, Bangkok、Thailand, 国際会議
    発表日 2018年06月27日
  • Rectification of Small Voltage Signal by Super Steep Subthreshold Slope "PN-Body Tied SOI FET" for RF Energy Harvesting
    Takuya Yamada; Jiro Ida; Takayuki Mori; ShunMomose; Yasunori Tsuchiya; Kenji Itoh; KoichiroIshibashi
    口頭発表(一般), 英語, TJMW2018, Bangkok, Thailand, 国際会議
    発表日 2018年06月27日
  • PPG とドップラーレーダを用いた収縮期血圧のカフレス連続測定
    大畠 知之; 石橋 孝一郎; 孫 光鎬
    口頭発表(一般), 日本語, 57回生体医工学会, 札幌, 国内会議
    発表日 2018年06月19日
  • Characteristics of 65nm SOTB technology and Low power LSI design using the SOTB technology
    Koichiro Ishibashi
    口頭発表(招待・特別), 英語, Wrok Shop on Low-power IC design techniques and applications(FIRST 2018), 招待, Hanoi Vietnam, 国際会議
    発表日 2018年03月15日
  • RF energy harvesting project using Super Steep Transistor on SOI process
    Koichiro Ishibashi
    口頭発表(招待・特別), 英語, Wrok Shop on Low-power IC design techniques and applications(FIRST 2018), 招待, Hanoi Vietnam, 国際会議
    発表日 2018年03月15日
  • IoT Sensors for Monitoring Water and Applications in Vietnam
    Koichiro Ishibashi
    口頭発表(招待・特別), 英語, VACI2018, 招待, 国際会議
    発表日 2018年03月04日
  • "エネルギーハーベ スティングBeat Sensorとその特性 ~ 低コスト・小型・高精度IoTセンサの実現 ~"
    石橋孝一郎; 瀧峠 良平
    口頭発表(一般), 日本語, 電子情報通信学会 ASM研究会, 国内会議
    発表日 2018年01月30日
  • "エネルギーハーベスティングセンサネットワーク向け ナノワット級外温度センサ回路"
    新居 慎也; 石橋 孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会 ASM研究会, 国内会議
    発表日 2018年01月30日
  • Short Time and Contact-Less Virus Infection Screening System with Discriminate Function Using Doppler Radar
    Xiaofeng Yang; Koichiro Ishibashi; Toshiaki Negishi; Tetsuo Kirimoto; Guanghao Sun
    口頭発表(一般), 英語, BIC-TA 2017, Harbin, China, 国際会議
    発表日 2017年12月01日
  • IoT SENSOR TECHNOLOGIES TO ADDRESS ISSUES OF ASEAN REGION
    Koichiro Ishibashi
    口頭発表(基調), 英語, RCCIE2017, 招待, Faculty of Computer Science and Engineering Ho Chi Minh City University of Technology, Ho Chi Minh City, Vietnam, http://www.cse.hcmut.edu.vn/fdse2017/#!/welcome, 国際会議
    発表日 2017年11月29日
  • LOW-POWER ENHANCED TEMPERATURE BEAT SENSOR WITH LONGER COMMUNICATION DISTANCE BY DATA-RECOVERY ALGORITHM
    Ryohei Takitoge; Masataka Kishi; Koichiro Ishibashi
    口頭発表(一般), 英語, IEEE Sensors2017, Glasgow,Scotland,UK, 国際会議
    発表日 2017年10月29日
  • A 0.148nJ/conversion 65nm SOTB Temperature Sensor LSI Using ThermistorDefined Current Source
    Shinya. Nii; Koichiro. Ishibashi
    口頭発表(一般), 英語, IEEE S3S CONFERENCE, IEEE, San Francisco, USA, http://s3sconference.org/, 国際会議
    発表日 2017年10月16日
  • Gate Controlled Diode Characteristics of Super Steep Subthreshold Slope PNBody Tied SOI-FET for High Efficiency RF Energy Harvesting
    S. Momose; J. Ida; T. Mori; T. Yoshida; J. Iwata; T. Horii; T. Furuta; K. Itoh; K.Ishibashi; Y. Arai
    口頭発表(一般), 英語, IEEE S3S Conference, IEEE, San Francisco, USA, http://s3sconference.org/, 国際会議
    発表日 2017年10月16日
  • Beat Sensors IoT Technology Suitable for Energy Saving
    Koichiro Ishibashi; Ryohei Takitoge; Shohei Ishigaki
    口頭発表(招待・特別), 英語, ICDV2017, 招待, IEEE SSCS Vietnam Chapter,VNU University of Engineering and Technology,REV,IEICE Vietnam Section, Hanoi, Vietnam, http://icdv.uet.vnu.edu.vn/home, 国際会議
    発表日 2017年10月05日
  • DC Current Beat: Wireless and Non-invasive DC Current Sensing Scheme
    K. Ishibashi; M. Serizawa; R. Takitoge; S. Ishigaki, T; Ishige
    口頭発表(一般), 英語, Eurosensors 2017, PARIS FRANCE, http://www.eurosensors2017.eu/, This paper presents a wireless and Non-invasive DC Current (DCC) sensing scheme as
    an IoT sensors. A RF module transmits only ID codes to a receiver, and the ID transmissions are
    called as “DCC Beat”. The interval time of DCC Beats depend on the inductance of ferrite clamp
    which is non-invasively installed at the wire of the DC current to be measured, so that the interval
    time corresponds to DC Current. The ID data transmission range reaches up to 50 m with 1.2 mW
    operating power using a 2.4 GHz RF module. DC current from 0.2 to 4 A can be measured within
    error of 5.7%., 国際会議
    発表日 2017年09月03日
  • 急峻なSSを持つPN-Body Tied SOI FETを用いた高効率RFエネルギーハーベスティング用Gate Controlled Diodeの特性
    百瀬 駿; 井田次郎; 森 貴之; 吉田貴大; 岩田潤平; 堀井隆史; 古田貴大; 山田拓弥; 高松大地; 伊東健治; 石橋孝一郎; 新井康夫
    口頭発表(一般), 日本語, 電子情報通信学会、集積回路研究会, 電子情報通信研究学会, 北海道札幌市, http://www.ieice.org/ken/program/index.php?tgs_regid=c8b3a2edce754bf9928e98f4476aad18ebe0070a7aff991734f26cc2d02e8692&tgid=IEICE-ICD&lang=, 新たに提案した極低ドレイン電圧で急峻なサブスレッショルド特性を持つPN-Body Tied SOI FETを用いたGate Controlled Diode(GCD)では,低いリーク電流を示し,かつ,従来のダイオードよりも十分に低い入力電圧で良好なON特性を持つことが確認された.しきい値を0V付近に調整したPN-Body Tied SOI FETのゲート長やゲート幅を見直すことで,GCDの最適化が可能であることを示した.高インピーダンスアンテナを用いたレクテナにおいては,低消費電力かつマイクロワット以下の入力電力が整流できる可能性が示された., 国内会議
    発表日 2017年07月31日
  • Non-contact Acquisition of Respiration and Heart Rates Using Doppler Radar with Time Domain Peak-detection Algorithm
    Xiaofeng Yang; Guanghao Sun; Koichiro Ishibashi
    口頭発表(一般), 英語, EMBC ’17, IEEE, Jeju Island, Korea, https://embc.embs.org/2017/, The non-contact measurement of the respiration
    rate (RR) and heart rate (HR) using a Doppler radar has
    attracted more attention in the field of home healthcare
    monitoring, due to the extremely low burden on patients,
    unconsciousness and unconstraint. Most of the previous studies
    have performed the frequency-domain analysis of radar signals
    to detect the respiration and heartbeat frequency. However,
    these procedures required long period time (approximately 30
    s) windows to obtain a high-resolution spectrum. In this study,
    we propose a time-domain peak detection algorithm for the fast
    acquisition of the RR and HR within a breathing cycle
    (approximately 5 s), including inhalation and exhalation. Signal
    pre-processing using an analog band-pass filter (BPF) that
    extracts respiration and heartbeat signals was performed., 国際会議
    発表日 2017年07月11日
  • Possibility of Super Steep Subthreshold Slope Devices for High Efficiency RF Energy Harvesting of Ultra Low Power Input
    Jiro Ida; Kenji Itoh; Koichiro Ishibashi
    口頭発表(招待・特別), 英語, TJMW2017, 招待, THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS, Bangkok, Thailand, http://www.ieice.org/~mw/TJMW2017/, 国際会議
    発表日 2017年06月14日
  • RF Characteristics of SOTB Devices for GHz Frequency Applications
    Nguyen Van Trung; Koichiro Ishibashi
    口頭発表(一般), 英語, TJMW2017, 招待, IEICE Technical Committee, Bangkok, Thailand, http://www.ieice.org/~mw/TJMW2017/, The paper presents RF characteristics of the 65nm SOTB CMOS devices for 1GHz range. With many superior features such as high transconductance gm, low leakage current, low threshold voltage, high resistivity substrate, small parasitic capacitance and so on, SOTB devices promise as a good candidate for RF applications. The SOTB 65nm NMOS and PMOS was laidout with total channel width WT = 1.2um, channel length L = 0.06um and the structure of patterns are suitable for measurement using 12-pin Cascade Unity probe. The measured results show strong effects of long wire between gate and pad on high-frequency figure of merit of SOTB devices, even at low frequency range of 1GHz . Besides, simulation results expose a hopeful potential of SOTB devices for RF applications, 国際会議
    発表日 2017年06月14日
  • Advantages of Power and Temperature Beat Sensors for IoT Applications
    Koichiro ISHIBASHI; Ryohei TAKITOGE; Shohei ISHIGAKI
    口頭発表(招待・特別), 英語, VJMW 2017, 招待, IEICE Technical Committee on Microwaves, Hanoi,Vietnam, http://vjmw2017.hust.edu.vn/, 国際会議
    発表日 2017年06月13日
  • Review of Steep Subthreshold Slope Devices and its possibility for High Efficiency RF Energy Harvesting
    Jiro IDA; Kenji ITOH; Koichiro ISHIBASHI
    口頭発表(招待・特別), 英語, VJMW2017, 招待, IEICE Technical Committee on Microwaves, Hanoi,Vietnam, http://vjmw2017.hust.edu.vn/, The research status of steep subthreshold slope (SS) devices for LSI’s on the Ultra low power IoT systems is reviewed, and our
    newly proposed super steep SS “PN- Body Tied SOI FET” is introduced. The diode technology for RF energy harvesting is also reviewed
    and the possibility of the high efficiency rectification for the ultralow input on the RF energy harvesting are shown with our “PN- Body Tied
    SOI FET”., 国際会議
    発表日 2017年06月13日
  • A 910nW Delta Sigma Modulator using 65nm SOTB Technology for Mixed Signal IC of IoT Applications
    Koichiro Ishibashi; Junya Kikuchi; Nobuyuki Sugii
    口頭発表(招待・特別), 英語, IEEE International Conferenceon IC Design and Technology (ICICDT 2017), 招待, IEEE, Austin, Texas, USA, http://ewh.ieee.org/conf/icicdt/downloads/2017_ICICDT_conferenceprogram.pdf, Ultra-low-power integrated circuits are key to achieve IoT system which operate with small batteries or even energy harvesting. This paper demonstrates design of low power digital analog circuits using 65nm SOTB (Silicon on Thin Buried oxide) technology, the performance of which is suitable for IoT system. They include 13.4pJ/cycle 0.14uA Sleep Current CPU with 15nA VBB generator, 910nW 46fJ/conv 0.036mm2 Modulator, 1.36uW 315MHz Synchronized-OOK Receiver., 国際会議
    発表日 2017年05月23日
  • ドップラーレーダを用いた時間領域ピーク検出アルゴリズムによる呼吸と心拍の非接触測定
    楊 小鳳; 石橋孝一郎
    口頭発表(一般), 日本語, 第56回日本生体医工学会大会, 日本生体医工学会, 宮城県仙台市, http://www2.idac.tohoku.ac.jp/jsmbe56/, 国内会議
    発表日 2017年05月03日
  • IoT Sensor technologies and Applications in ASEAN Region
    Koichiro Ishibashi; Tran Ngoc Thinh; Guanghao Sun
    口頭発表(招待・特別), 英語, IUUWS2017, The University of Electro-Communications, Chofu,Tokyo, Japan, http://gakusei.office.uec.ac.jp/iuuws2017/index.html, Trillion sensor universe in which trillions of sensors are distributed to gather the data of the internet is expected in early 2020s[1], thereby addressing various issues such as agriculture, aquaculture, environment, energy, healthcare, and so on. These are many possibilities that these IoT sensor technologies play important roles on addressing various issues on ASEAN region, which economy has been growing rapidly so that various issues have been occurred. This paper introduces technologies to realize IoT sensors, and some application examples using the IoT sensors which could address the issues on those countries., 国際会議
    発表日 2017年03月27日
  • 同期通信MACプロトコルによるセンサノードの低電力化の検討
    石垣翔平; 石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会、スマート無線研究会, 電子情報通信学会、スマート無線研究会, 愛媛県松山市, http://www.ieice.org/ken/program/index.php?tgs_regid=afb0822f7654370769cf62c7ecfa29b0350480ba520c4e852f9dd8ba8bc784ad&tgid=IEICE-SR&lang=
    発表日 2017年01月19日
  • Temperature Beat: Persistent and Energy Harvesting Wireless Temperature Sensing Scheme
    Ryohei Takitoge; Shohei Ishigaki; Tsuyoshi Ishige; Koichiro Ishibashi
    口頭発表(一般), 英語, IEEE SENSORS 2016, 国際会議
    発表日 2016年11月02日
  • Evaluation of Applying Spectrum Spreading to Synchronized-OOK Modulation Scheme
    Nguyen Van TRUNG; KOICHIRO Ishibashi
    口頭発表(一般), 英語, IWMST-2016, NCUT、HEU、KIT、UEC、WUST, 台湾 台北, he paper presents a method that applies Spread-Spectrum (SS) technique to synchronized On-Off-Keying (S-OOK) modulation scheme. Different from conventional Direct-sequence SS (DSSS) modulation scheme in which PN code is multiplied with data bit during whole bit duration, in new scheme data bits are transformed into synchronized-DATA (SDATA) before being multiplied with the PN code for synchronized pulse durations. Therefore, transceiver system will show a good interference immunity and energy efficiency. In this paper, the architecture of transmitter (TX) and waveform operation of this modulation scheme are introduced. These were evaluated by simulating on MATLAB/SIMULINK version 9.0 (R2016a).
    Key words Wireless Sensor Network (WSN), Transmitter (TX), Receiver (RX), Synchronized-OOK (S-OOK) modulation, Spread-Spectrum (SS), Direct-Sequence (DS), MATLAB/SIMULINK., 国際会議
    発表日 2016年10月31日
  • Design of -30dBm Sensitivity and Sub 10nW Wake-up Receiver for Wireless Sensor Networks Using Body Boost on 65nm SOTB Technology
    Tsuyoshi Ishige; Koichiro Ishibashi
    口頭発表(一般), 英語, ATC2016, 国際会議
    発表日 2016年10月13日
  • エナジーハーベスティング向けシュミット・トリガ付きLDO回路
    高橋史帆; 石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会 ソサエティ大会
    発表日 2016年09月22日
  • ドップラーレーダーとArduinoによるローコスト・小型非接触心拍・呼吸計測システムの開発
    楊小鳳; 石橋孝一郎; 孫光鎬
    口頭発表(一般), 日本語, 生体医工学シンポジウム2016
    発表日 2016年09月17日
  • 13th APT Telecommunication and ICT Development Forum (ADF-13) by APT
    Koichiro Ishibashi
    その他, 英語, 13th APT Telecommunication and ICT Development Forum (ADF-13) by APT
    発表日 2016年08月
  • 間欠動作センサネットワークシステムにおける低電力同期通信方式の検討
    石垣翔平; 石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会 総合大会 2016
    発表日 2016年03月16日
  • ベトナムエビ養殖場水質モニターから見たIoTの課題と効果
    石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会 総合大会 2016
    発表日 2016年03月16日
  • 論理回路の極低電力動作を実現する基板バイアス発生回路
    小出知明; 石橋孝一郎; 杉井信之
    口頭発表(一般), 日本語, 電子情報通信学会 デザインガイヤ
    発表日 2015年12月02日
  • SOTB MOSFETを用いた低電力マイクロコントローラの動的基板バイアス制御機構の実装と予備評価
    奥原 颯; 小出知明; Johannes maximilian kuehn; Akram Ben Ahmed; 石橋孝一郎; 天野英晴
    口頭発表(一般), 日本語, 電子情報通信学会 デザインガイヤ
    発表日 2015年12月02日
  • センサネットワークによるベトナムえび養殖場水質モニター
    石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会 ICD研究会
    発表日 2015年11月26日
  • A 400mV 0.59mW Low-power CAM-based Pattern Matching System on 65nm SOTB Process
    Duc-Hung Le; Nobuyuki Sugii; Shiro Kamohara; Hong-Thu Nguyen; Koichiro Ishibashi; Cong-Kha Pham
    口頭発表(一般), 英語, TENCON 2015
    発表日 2015年11月01日
  • Designs of Ultra-Low-Power and Ultra-Low-Leakage 65nm-SOTB LSI for IoT Applications
    Koichiro Isibashi
    口頭発表(一般), 英語, IEEE S3S Conference 2015
    発表日 2015年10月05日
  • SOTB Technology, which Enables Perpetually Reliable CPU for IoT Applications
    K. Ishibashi; N. Sugii; K. Kobayashi; T. Koide; H. Nagatomi; S. Kamohara
    口頭発表(一般), 英語, Fourth Berkeley Symposium on Energy Efficient Electronic Systems
    発表日 2015年10月01日
  • Power Beat: A Low‐cost and Energy Harvesting Wireless Electric Power Sensing Scheme for BEMS
    Shohei Ishigaki; Koichiro Ishibashi
    口頭発表(一般), 英語, ICBEST 2015, シンガポール, 国際会議
    発表日 2015年08月31日
  • Design of a Low-power Fixed-point 16-bit Digital Signal Processor Using 65nm SOTB Process
    Le, Duc-Hung; Sugii, Nobuyuki; Kamohara, Shiro; Nguyen; Xuan-Thuan; Ishibashi, Koichiro; Pham, Cong-Kha
    口頭発表(一般), 英語, 2015 IEEE International Conference on Integrated Circuit Design and Technology (ICICDT)
    発表日 2015年06月
  • くし歯型MEMS共振器の共振特性と蓄積エネルギー
    永村真也; 石毛剛志; 石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会2015総合大会
    発表日 2015年03月11日
  • ZigBeeを用いたセンサネットワークシステム用エナジーハーベスト電源システムの設計法
    綿引 亮; 石橋孝一郎; Hieu V. Bui; Thinh N. Than
    口頭発表(一般), 日本語, 電子情報通信学会2015総合大会
    発表日 2015年03月10日
  • ルーターの間欠動作によるセンサネットワークシステムの低電力化
    諸橋翔太朗; 石橋孝一郎; 床井義之; 伊良皆千里
    口頭発表(一般), 日本語, 電子情報通信学会2015総合大会
    発表日 2015年03月10日
  • Low Power Channel Scanning with Contiki's IPv6 Stack for Wireless Sensor Network
    Tran Ngoc Thinh; Tu Nguyen; Bui Van Hiev; Koichiro Ishibashi
    口頭発表(一般), 英語, ACOMP 2014
    発表日 2014年11月20日
  • A 0.75V 0.574mW 2.16GHz - 3.2GHz Differential Multipass Ring Oscillator on 65nm SOTB CMOS Technology
    Minh-Thien Hoang; Nobuyuki Sugii; Koichiro Ishibashi
    口頭発表(一般), 英語, ICDV 2014
    発表日 2014年11月14日
  • Perpetuum-Mobile Sensor Network Systems using a CPU on 65nm SOTB CMOS Technology
    Koichiro Ishibashi; Cong-Kha Pham; Nobuyuki Sugii
    口頭発表(招待・特別), 英語, ICDV 2014, 国際会議
    発表日 2014年11月14日
  • A CARD SIZE ENERGY HARVESTING ELECTRIC POWER SENSOR FOR IMPLEMENTING EXISTING ELECTRIC APPLIANCES INTO HEMS
    Yuki Tsunoda; Chikara Tsuchiya; Yuji Segawa; Hajime Sawaya; Minoru Hasegawa; Koichiro Ishibashi
    口頭発表(一般), 英語, IEEE SENSORS 2014
    発表日 2014年11月02日
  • A 36nA Thermal Run-away Immune VBB Generator Using Dynamic Substrate Controlled Charge Pump for Ultra Low Sleep Current Logic on 65nm
    H. Nagatomi; N. Sugii; S. Kamohara; K. Ishibashi
    口頭発表(一般), 英語, 2014 IEEE S3S Conference
    発表日 2014年10月07日
  • A 53μW -82dBm Sensitivity 920MHz OOK Receiver Design Using Bias Switch Technique on 65nm SOTB CMOS Technology
    H.M. Thien; N. Sugii; K. Ishibashi
    口頭発表(一般), 英語, 2014 IEEE S3S Conference
    発表日 2014年10月07日
  • Design of a Low-power Fixed-point 16-bit Digital Signal Processor Using 65nm SOTB Process
    Duc-Hung Le; N. Sugii; S. Kamohara; H. Oda; K. Ishibashi; Cong-Kha Pham
    口頭発表(一般), 英語, IEEE Region 10 ATC 2014
    発表日 2014年10月
  • IoT時代の高効率エレクトロニクスに向けた薄膜BOX-SOI(SOTB)CMOSの超低電圧動作回路およびデバイス技術
    蒲原史朗; 杉井信之; 山本芳樹; 槇山秀樹; 山下朋弘; 長谷川拓実; 岡西忍; 柳田博史; 門島勝; 前川径一; 三谷仁; 山縣保司; 尾田秀一; 山口泰男; 石橋孝一郎; 天野英晴; 宇佐美公良; 小林和淑; 水谷朋子; 平本俊郎
    口頭発表(一般), 日本語, 応用物理学会 シリコンテクノロジー分科会
    発表日 2014年08月08日
  • A Perpetuum Mobile 32bit CPU with 13.4pJ/cycle, 0.14μA Sleep Current using Reverse-Body-Bias Assisted 65nm SOTB CMOS
    K. Ishibashi; N. Sugii; K. Usami; H. Amano; K. Kobayashi; Cong-Kha Pham; H. Makiyama; Y. Yamamoto; H. Shinohara; T. Iwamatsu; Y. Yamaguchi; H. Oda; T. Hasegawa; S. Okanishi; H. Yanagita
    口頭発表(招待・特別), 英語, 電子情報通信学会 シリコン材料・デバイス研究会
    発表日 2014年08月04日
  • A Perpetuum Mobile 32bit CPU on 65nm SOTB CMOS Technology with Reverse-Body-Bias Assisted Sleep Mode
    S. Kamohara; N. Sugii; K. Ishibashi; K. Usami; H. Amano; K. Kobayashi; Cong-Kha Pham
    ポスター発表, 英語, Hot Chips 2014
    発表日 2014年08月
  • Ultralow-Voltage Design and Technology of Silicon-on-Thin-Buried-Oxide (SOTB) CMOS for Highly Energy Efficient Electronics in IoT Era
    S. Kamohara; N. Sugii; Y. Yamamoto; H. Makiyama; T. Yamashita; T. Hasegawa; S. Okanishi; H. Yanagita; M. Kadoshima; K. Maekawa; H. Mitani; Y. Yamagata; H. Oda; Y. Yamaguchi; K. Ishibashi; H. Amano; K. Usami; K. Kobayashi; T. Mizutani; T. Hiramoto; Low-power Electronics Association; Project
    口頭発表(招待・特別), 英語, 2014 Symposia on VLSI Technology and Circuits, 国内会議
    発表日 2014年06月12日
  • A Perpetuum Mobile 32bit CPU with 13.4pJ/cycle, 0.14μA Sleep Current using Reverse Body Bias Assisted 65nm SOTB CMOS
    Koichiro Ishibashi; Nobuyuki Sugii; Kimiyoshi Usami; Hideharu Amano; Kazutoshi Kobayashi; Cong-Kha Pham; Hideki Makiyama; Yoshiki Yamamoto; Hirofumi Shinohara; Toshiaki Iwamatsu; Yasuo Yamaguchi; Hidekazu Oda; Takumi Hasegawa; Shinobu Okanishi; Hiroshi Yanagita; Shiro Kamohara; Masaru Kadoshima; Keiichi Maekawa; Tomohiro Yamashita; Duc-Hung Le; Takumu Yomogita; Masaru Kudo; Kuniaki Kitamori; Shuya Kondo; Yuuki Manzawa
    口頭発表(一般), 英語, Cool Chips XVII, Cool Chips XVII
    発表日 2014年04月16日
  • エナジーハーベストセンサネットワーク向け低電力pH測定法
    綿引 亮; 石橋孝一郎; Hieu V. Bui
    口頭発表(一般), 日本語, 電子情報通信学会 2014年総合大会, 電子情報通信学会
    発表日 2014年03月19日
  • An ultra-low power LNA design using SOTB CMOS devices
    Hoang Minh Thien; Koichiro Ishibashi
    口頭発表(一般), 英語, 2013 Thailand-Japan Micro Wave (TJMW2013)
    発表日 2013年12月
  • Suppression of Die-to-Die Delay Variability of Silicon on Thin Buried Oxide (SOTB) CMOS Circuits by P/N Control with Back for Ultralow 0 4 Operation
    H.Makiyama; Y. Yamamoto; H. Shinohara; T. Iwamatsu; H. Oda; N. Sugii; K. Ishibashi; T. Mizutani; T. Hiramoto; Y. Yamaguchi
    口頭発表(一般), 英語, 2013 IEDM Technical Program
    発表日 2013年12月
  • A 4pA/Gate Sleep Current 65nm SOTB Logic Gates Using On-chip VBB Generator for Energy Harvesting Sensor
    Hiroki Nagatomi; Le Duc-Hung; Cong-Kha Pham; Nobuyuki Sugii; Shirou Kamohara; Toshiaki; Iwamatsu; Koichiro Ishibashi
    口頭発表(一般), 英語, The 2013 International Conference on Integrated Circuits, Design, and Verification (ICDV 2013)
    発表日 2013年11月
  • Vmin=0.4 V LSIs are the real with Silicon-on-Thin-Buried-Oxide (SOTB) —
    N. Sugii; T. Iwamatsu; Y. Yamamoto; H. Makiyama; H. Shinohara; H. Oda; S. Kamohara; Y. Yamaguchi; K. Ishibashi; T. Mizutani; T. Hiramoto
    口頭発表(招待・特別), 英語, IEEE S3S Conference
    発表日 2013年10月
  • A 44NW/10MHz Minimum Power Operation of 50K Logic Gate using 65nm SOTB Devices
    S. Morohashi; N. Sugii; T. Iwamatsu; S. Kamohara; Y. Kato; C-K. Pham; K. Ishibashi; The University of Electro Communications, Japan; Low-Power Electronics Association; Project; PAGE
    口頭発表(一般), 英語, 2013 SOI-3DI Subthreshold Microelectronics Technology Unified Conference
    発表日 2013年10月
  • Vmin=0.4 V LSIs are the real with Silicon-on-Thin-Buried-Oxide (SOTB) —
    N. Sugii; T. Iwamatsu; Y. Yamamoto; H. Makiyama; H. Shinohara; H. Oda; S. Kamohara; Y. Yamaguchi; K. Ishibashi; T. Mizutani; T. Hiramoto
    口頭発表(招待・特別), 英語, IEEE S3S Conference
    発表日 2013年10月
  • A Challenge to Perpetuum Computing using SOTB Technology
    Koichiro Ishibashi
    口頭発表(基調), 英語, ACOMP 2013, ACOMP, Ho Chi Minh City, Vietnam, 国際会議
    発表日 2013年10月
  • Speed Enhancement at Vdd = 0.4 V and Randam τpd Variability Reduction of Silicon on Thin Buried Oxide (SOTB)
    H. Makiyama, Y; Yamamoto; H. Shinohara; T. Iwamatsu; H. Oda, N; Sugii; K. Ishibashi and Y; Yamaguchi
    口頭発表(一般), 英語, International Solid-State Devices and Materials
    発表日 2013年09月
  • カンチレバー型MEMS共振器の設計
    日下部圭佑; 井上雄策; 長谷川翔一; 石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会2013年総合大会
    発表日 2013年03月21日
  • 既存電気機器電力測定のためのカード型電力センサ
    角田祐樹; 堀川哲也; 城野遼太; 綿引 亮; 石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会2013年総合大会
    発表日 2013年03月21日
  • Continuous Challenges for Ultra-Low Power LSI - Technologies, and Their Impact to ITC Societies
    Koichiro Ishibashi
    口頭発表(基調), 英語, IEICE Vietnam Section Lecture Meeting on ICT and Inauguration Ceremony, IEICE Vietnam section, Hanoi, Vietnam, 国際会議
    発表日 2013年03月
  • エレクトロニクス技術による省エネルギー化への貢献
    石橋孝一郎
    シンポジウム・ワークショップパネル(公募), 日本語, 第5回TAMA産学官金サミット, 首都圏産業活性化協会, 電気通信大学
    発表日 2012年11月
  • 低電圧・低電力化技術の最新動向
    石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会2012年ソサエティ大会
    発表日 2012年09月13日
  • 低電圧・低電力技術の最新動向
    石橋孝一郎
    その他, 日本語, 電子情報通信学会
    発表日 2012年09月
  • An On-Chip 250 mA 40 nm CMOS Digital LDO Using Dynamic Sampling Clock Frequency Scaling with Offset-Free TDC-Based Voltage Sensor
    Kazuo Otsuga; Masafumi Onouchi; Yasuto Igarashi; Toyohito Ikeya; Sadayuki Morita; Koichiro Ishibashi; Kazumasa Yanagisawa
    口頭発表(一般), 英語, 25th IEEE International System-on-Chip Conference
    発表日 2012年09月
  • ITの低電力技術の研究動向とLEAPプロジェクトにおける無限動作LSIへの挑戦
    石橋孝一郎
    口頭発表(招待・特別), 日本語, DAシンポジウム2012 -システムLSI設計技術とDA-, 情報処理学会
    発表日 2012年08月
  • 薄膜MOSトランジスタを用いた40nm CMOS高速応答デジタルLDOレギュレータ
    小野内雅文; 大津賀一雄; 五十嵐康人; 池谷豊人; 森田貞幸; 石橋孝一郎; 柳沢一正
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告
    発表日 2012年08月
  • Sleep Mode Implementation to ZigBee Router Devices for Wireless Sensor Networks
    Ryouta SHIRONO; VU Trong Thien; Kohichiro ISHIBASHI
    口頭発表(一般), 英語, The 3rd IEICE International Conference on Integrated Circuits and Devices in Vietnam (ICDV 2012)
    発表日 2012年08月
  • スケーリング則から見た低電力技術とその方向
    石橋孝一郎
    口頭発表(招待・特別), 日本語, 電子情報通信学会 集積回路研究会, 電子情報通信学会 集積回路研究会
    発表日 2012年01月
  • スケーリング則から見た低電力技術とその方向
    石橋孝一郎
    口頭発表(招待・特別), 日本語, 電子情報通信学会 集積回路研究会, 電子情報通信学会 集積回路研究会
    発表日 2012年01月
  • A 1.39-V input fast-transient-response digital LDO composed of low-voltage MOS transistors in 40-nm CMOS process
    Onouchi, M; Otsuga, K; Igarashi, Y; Ikeya, T; Morita, S; Ishibashi, K; Yanagisawa, K
    口頭発表(一般), 英語, IEEE A-SSCC 2011
    発表日 2011年11月
  • On-chip resonant supply noise reduction utilizing switched parasitic capacitors of sleep blockes with trimode power gating structure
    K. Jinmyoung; T. Nakura; H. Takata; K. Ishibashi; K. Ikeda; K. Asada
    口頭発表(一般), 英語, 37th. European Solid-State Circuits Conference
    発表日 2011年09月
  • Low Power Technologies and their impact on ITC Societies
    石橋孝一郎
    口頭発表(基調), 英語, The 2011 International Conference on Integrated Circuits and Devices in Vietnam, IEICE, IEEE ICDV 2011, Ha Noi, Vietnam, 国際会議
    発表日 2011年08月
  • Decoupling Capacitance Boosting for On-Chip Resonant Supply Noise Reduction
    Jinmyoung Kim; Toru Nakura; Hidehiro Takata; Koichiro Ishibashi; Makoto Ikeday; Kunihiro Asada
    口頭発表(一般), 英語, 2011 IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits and Systems
    発表日 2011年04月
  • Resonant supply noise canceller utilizing parasitic capacitance of sleep blocks
    J. Kim; T. Nakura; H. Takata; K. Ishibashi; M. Ikeda; K. Asada
    口頭発表(一般), 英語, VLSI Circuits symposium 2010
    発表日 2010年
  • LSI industry requirement to SOI for mobile applications
    K. Ishibashi
    口頭発表(一般), 英語, the 3rd FDSOI Workshop
    発表日 2010年
  • A low-power wide-range clock synchronizer with predictive-delay-adjustment scheme for continuous voltage scaling in DVFS control
    M. Onouchi; Y. Kanno; M. Saen; S. Komatsu; Y. Yasu; K. Ishibashi
    口頭発表(一般), 英語, A-SSCC 2009
    発表日 2009年
  • Hot-CarrierAC Lifetime Enhancement due to Wire Resistance Effect (WRE) in 45nm CMOS Circuits
    N. Mizuguchi; K. Takeuchi; H. Tobe; P. Lee; K. Ishibashi
    口頭発表(一般), 英語, SSDM 2008
    発表日 2008年09月
  • Dynamic voltage boost (DVB) method for improving power integrity of low-power multi-processor SoCs
    Y. Kanno; K. Yoshizumi; Y. Yasu; K. Ishibashi; H. Mizuno
    口頭発表(一般), 英語, VLSI Circuit Symposium
    発表日 2008年
  • 厚膜MOS電源スイッチを用いた高速電源遮断技術によるモバイルプロセッサの低電力化
    福岡一樹; 小澤治; 森涼; 五十嵐康人; 佐々木敏夫; 倉石孝; 安義彦; 石橋孝一郎
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告[シリコン材料・デバイス]
    発表日 2007年08月23日
  • Adaptive body bias techniques for low power SOC
    K. Ishibashi
    口頭発表(招待・特別), 英語, International Solid-State Circuits Conference, IEEE ISSCC 2007 Microprocessor Forum, San Francisco Calfornia, 国際会議
    発表日 2007年02月
  • SOCを低電力化する回路技術とデバイスモデルの課題
    石橋孝一郎; 大林茂樹; 永久克己; 谷沢元昭; 塚本康正; 長田健一; 宮崎裕行; 山岡雅直
    口頭発表(招待・特別), 日本語, 電子情報通信学会技術研究報告[シリコン材料・デバイス]
    発表日 2007年01月26日
  • Adaptive Design of SRAM Memory Cells
    K. Ishibashi
    口頭発表(一般), 英語, 2007 IEDM, IEEE IEDM 2007, Special Evening Session, Washington D.C., USA, 国際会議
    発表日 2007年
  • Adaptive Design of SRAM Memory Cells
    K. Ishibashi
    口頭発表(一般), 英語, 2007 IEDM
    発表日 2007年
  • A 65-nm embedded SRAM with Wafer Level Burn-in Mode, Leak-bit Redundancy and E-trim Fuse for Known Good Die
    S. Ohbayashi; M. Yabuuchi; Y. Oda; S. Imaoka; K. Usui; T. Yonezu; T. Iwamoto; K. Nii; Y. Tsukamoto; M. Arakawa; T. Uchida; M. Okada; A. Ishii; H. Makino; K. Ishibashi; H. Shinohara
    口頭発表(一般), 英語, ISSCC 2007
    発表日 2007年
  • A 1.92μs-Wake-Up Time Thick-Gate-Oxide Power Switch Technique for Ultra Low-Power Single- Chip Mobile Processors
    K. Fukuoka; O. Ozawa; R. Mori; Y. Igarashi; T. Sasaki; T. Kuraishi; Y. Yasu; K. Ishibashi
    口頭発表(一般), 英語, VLSI Circuit Symposium 2007
    発表日 2007年
  • A 1.92μs-Wake-Up Time Thick-Gate-Oxide Power Switch Technique for Ultra Low-Power Single- Chip Mobile Processors
    K. Fukuoka; O. Ozawa; R. Mori; Y. Igarashi; T. Sasaki; T. Kuraishi; Y. Yasu; K. Ishibashi
    口頭発表(一般), 英語, VLSI Circuit Symposium 2007
    発表日 2007年
  • Circuit Technologies for Reducing the Power of SOC and Issues on Transistor Models
    Koichiro Ishibashi; Shigeki Ohbayashi; Katsumi Eikyu; Motoaki Tanizawa; Yasumasa Tsukamoto; Kenichi Osada; Masayuki Miyazaki; Masanao Yamaoka
    口頭発表(一般), 英語, 2006 International Electron Divices Meeting, IEEE IEDM 2006, San Francisco, Calfornia, 国際会議
    発表日 2006年
  • A 65nm Ultra-High-Density Dual-port SRAM with 0.71um2 8T-cell for SoC
    K. Nii; Y. Masuda; M. Yabuuchi; Y. Tsukamoto; S. Ohbayashi; S. Imaoka; M. Igarashi; K. Tomita; N. Tsuboi; H. Makino; K. Ishibashi; H. Shinohara
    口頭発表(一般), 英語, VLSI Circuit Symposium 2006
    発表日 2006年
  • A 65nm SoC Embedded 6T-SRAM Design for Manufacturing with Read and Write Cell Stabilizing Circuits
    S. Ohbayashi; M. Yabuuchi; K. Nii; Y. Tsukamoto; S. Imaoka; Y. Oda; M.Igarashi; M. Takeuchi; H. Kawashima; H. Makino; Y. Yamaguchi; K. Tsukamoto; M. Inuishi; H. Makino; K. Ishibashi; H. Shinohara
    口頭発表(一般), 英語, VLSI Circuit Symposimu 2006
    発表日 2006年
  • Low power SOC design using partial-trench-isolation ABC SOI (PTI-ABC SOI) for sub-100-nm LSTP technology
    Osamu Ozawa; Kazuki Fukuoka; Yasuto Igarashi; Takashi Kuraishi; Yosihiko Yasu; Yukio Maki; Takashi Ipposhi; Toshihiko Ochiai; Masayoshi Shirahata; Koichiro Ishibashi
    口頭発表(一般), 英語, Symp. VLSI Circuits 2006
    発表日 2006年
  • Circuit Technologies for Reducing the Power of SOC and Issues on Transistor Models
    Koichiro Ishibashi; Shigeki Ohbayashi; Katsumi Eikyu; Motoaki Tanizawa; Yasumasa Tsukamoto; Kenichi Osada; Masayuki Miyazaki; Masanao Yamaoka
    口頭発表(一般), 英語, 2006 International Electron Divices Meeting
    発表日 2006年
  • Worst-case analysis to obtain stable read/write DC margin of high density 6T-SRAM-array with local Vth variability
    Yasumasa Tsukamoto; Koji Nii; Susumu Imaoka; Yuji Oda; Shigeki Ohbayashi; Tomoaki Yoshizawa; Hiroshi Makino; Koichiro Ishibashi; Hirofumi Shinohara
    口頭発表(一般), 英語, ICCAD 2005
    発表日 2005年
  • オンチップメモリの低電力化と微細化への挑戦
    石橋孝一郎
    口頭発表(一般), 日本語, 第9回システムLSIワークショップ, 小倉
    発表日 2005年
  • 低消費電力プロセッサ 回路技術とその動向
    K. Ishibashi
    口頭発表(一般), 日本語, the Annual Symposium on Advanced Computing Systems and Infrastructures, つくば
    発表日 2005年
  • 0.5V asymmetric three-Tr. cell (ATC) DRAM using 90nm generic CMOS logic process
    Motoi Ichihashi; Haruki Toda; Yasuo Itoh; Koichiro Ishibashi
    口頭発表(一般), 英語, Symp. VLSI Circuits 2005
    発表日 2005年
  • A soft-error hardened latch scheme for SoC in a 90nm technology and beyond
    Yoshihide Komatsu; Yukio Arima; Tetsuya Fujimoto; Takahiro Yamashita; Koichiro Ishibashi
    口頭発表(一般), 英語, 2004 IEEE Custom Integrated Circuits Conference
    発表日 2004年05月
  • An on-chip active decoupling circuit to suppress crosstalk in deep sub-micron CMOS mixed-signal SoCs
    Toshiro Tsukada; Yasuyuki Hashimoto; Kohji Sakata; Hiroyuki Okada; Koichiro Ishibashi
    口頭発表(一般), 英語, IEEE International Sold-State Circuits Conference
    発表日 2004年02月
  • Cosmic-ray immune latch circuit for 90nm technology and beyond
    Yukio Arima; Takahiro Yamashita; Yoshihide Komatsu; Tetsuya Fujimoto; Koichiro Ishibashi
    口頭発表(一般), 英語, IEEE International Solid-State Circuits Conference
    発表日 2004年02月
  • Low Power Technology Development at STARC
    Koichiro Ishibashi
    口頭発表(一般), 英語, The Second International Workshop on Nanoelectronics for Terra-bit Information Processing
    発表日 2004年01月
  • 論理回路の低電力技術とボディーゲーティング法の提案
    石橋孝一郎
    口頭発表(一般), 日本語, SEMI FORUM JAPAN 2004, プロセスデバイス技術セミナー, 大阪
    発表日 2004年
  • Low power SoC project at STARC: low voltage and high speed digital and analog circuits
    K. Ishibashi
    口頭発表(一般), 英語, Seminar @IMEC, Leuven, Belgium
    発表日 2003年11月07日
  • Low Power SoC Project in STARC
    K. Ishibashi; T. Yamashita
    口頭発表(一般), 英語, 2003 International Symp. on VLSI technology, Systems and Applications
    発表日 2003年10月
  • Offset calibrating comparator array for 1.2-V, 6-bit, 4-Gsample/s flash ADCs using 0.13-um generic CMOS technology
    Hiroyuki Okada; Yasuyuki Hashimoto; Kohji Sakata; Toshiro Tsukada; Koichiro Ishibashi
    口頭発表(一般), 英語, Proceedings of the 29th European Solid-State Circuits Conference
    発表日 2003年09月
  • デバイス・回路技術者協議:ゲートリーク問題は誰が解くか?
    石橋孝一郎; 野瀬浩一; 若林整; 小林胤雄; 杉井寿博; 黒田忠広; 高柳万里子
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告[シリコン材料・デバイス]
    発表日 2003年08月22日
  • 低電力SoCを目指すSTARCの低電力技術開発
    石橋孝一郎; 藤本徹哉; 岡田博之; 山下高廣
    口頭発表(招待・特別), 日本語, 電子情報通信学会技術研究報告[シリコン材料・デバイス]
    発表日 2003年08月21日
  • A9μW 50MHz 32b Adder Using a Self-Adjusted Forward Body Bias in SoCs
    石橋孝一郎; 山下高廣; 有馬幸生; 峯松勲; 藤本徹哉
    口頭発表(一般), 英語, 電子情報通信学会技術研究報告[集積回路]
    発表日 2003年05月29日
  • 16.7A/cell Tunnel-Leakage-Suppressed 16Mb SRAM for Handling Cosmic-Ray-Induced Multi-Errors
    長田健一; 斉藤良和; 石橋孝一郎
    口頭発表(一般), 英語, 電子情報通信学会技術研究報告[集積回路]
    発表日 2003年05月28日
  • 16.7fA/Cell tunnel-leakage-suppressed 16Mb SRAM for handling cosmic-ray-induced multi-errors
    Kenichi Osada; Yoshikazu Saitoh; Eishi Ibe; Koichiro Ishibashi
    口頭発表(一般), 英語, IEEE International Sold-State Circits Conference
    発表日 2003年02月
  • A 9μW 50MHz 32b adder using a self-adjusted forward body bias in SoCs
    Koichiro Ishibashi; Takahiro Yamashita; Yukio Arima; Isao Minematsu; Tetsuya Fujimoto
    口頭発表(一般), 英語, IEEE International Solid-State Circuits Conference
    発表日 2003年02月
  • 論理回路のソフトエラー:低電力LSIの新しい課題
    石橋孝一郎
    口頭発表(招待・特別), 日本語, STRJ2003年度ワークショップ
    発表日 2003年
  • 90-65nmテクノロジーに対応できるオンチップメモリは?
    石橋孝一郎; 川嶋将一郎; 平木充; 中瀬泰伸; 石井智之; 杉林直彦; 宮野信治
    口頭発表(一般), 日本語, 電子情報通信学会技術研究報告[集積回路]
    発表日 2002年04月12日
  • STARCにおける低電力技術開発
    石橋孝一郎
    口頭発表(一般), 日本語, 第6回システムLSIワークショップ
    発表日 2002年
  • A V-driver circuit for lowering power of sub-0.1/spl mu/m bus
    Y. Arima; K. Ishibashi; T. Yamashita
    口頭発表(一般), 英語, 2002 Asia-Pacific ASIC
    発表日 2002年
  • 0.4-V logic library friendly SRAM array using rectangular-diffusion cell and delta-boosted-array-voltage scheme
    M. Yamaoka; K. Osada; K. Ishibashi
    口頭発表(一般), 英語, 2002 Symposium on VLSI Circuits
    発表日 2002年
  • Design rule for frequency-voltage cooperative power control and its application to an MPEG-4 decoder
    K. Aisaka; T. Aritsuka; K. Ishibashi; H. Kawaguchi; S. Misaka; T. Sakurai; K. Toyama; K. Uchiyama
    口頭発表(一般), 英語, 2002 Symposium on VLSI Circuits
    発表日 2002年
  • CMOS process compatible ie-flash(inverse gate electrode flash) technology for system-on-a chip
    Shoji Shukuri; Kazumasa Yanagisawa; Koichiro Ishibashi
    口頭発表(一般), 英語, 2001 IEEE Custom Integrated Circuits Conference
    発表日 2001年05月
  • Substrate-Bias Techniques for SH4(未刊行論文)
    K. Ishibashi
    口頭発表(一般), 英語, in the short course, 2001 VLSI Circuit Symposium, Kyoto
    発表日 2001年
  • Low Power Memory
    K. Ishibashi
    口頭発表(一般), 英語, in the short course, 2001 SSDM(International Symposium on Solid-State Devices and Materials), Tokyo
    発表日 2001年
  • A system LSI memory redundancy technique using an ie-flash (inverse-gate-electrode flash) programming circuit
    M. Yamaoka; K. Yanagiwawa; S. Shukuri; K. Norisue; K. Ishibashi
    口頭発表(一般), 英語, 2001 Symposium on VLSI Circuits
    発表日 2001年
  • CMOS process compatible ie-Flash (inverse gate electrode Flash) technology for system-on-a-chip
    K. Ishibashi; S. Shukuri; K. Tanagisawa
    口頭発表(一般), 英語, 2001 CICC
    発表日 2001年
  • Universal-Vdd 0.65-2.0V 32 kB cache using voltage-adapted timing-generation scheme and a lithographical-symmetric cell
    K. Osada; J. Shin; M. Khan; Y. Liou; K. Wang; K. Shoji; K. Kuroda; S. Ikeda; K. Ishibashi
    口頭発表(一般), 英語, 2001 IEEE International Solid-state Circuits Conference
    発表日 2001年
  • Quantitative Study of SA-Vt CMOS Scheme Based on the Evaluation of Device Fluctuation
    G. Ono; M. Miyazaki; K. Ishibashi
    口頭発表(一般), 英語, 2000 International Conference on Solid State Devices and Materials
    発表日 2000年
  • A 1000-MIPS/W microprocessor using speed adaptive threshold-voltage CMOS with forward bias
    M. Miyazaki; G. Ono; T. Hattori; K. Shiozawa; K. Uchiyama; K. Ishibashi
    口頭発表(一般), 英語, 2000 IEEE International Solid-State Circuits Conference
    発表日 2000年
  • A 18 μA-standby-current 1.8 V 200 MHz microprocessor with self substrate-biased data-retention mode.
    H. Mizuno; K. Ishibashi; T. Shimura; T. Hattori; S. Narita; K. Shiozawa; S . Ikeda; K.Uchiyama
    口頭発表(一般), 英語, 1999 IEEE International Solid-state Circuits Conference
    発表日 1999年
  • A 3-cycle lock time delay-locked loop with a parallel phase detector for low power mobile systems
    M. Miyazaki; K. Ishibashi
    口頭発表(一般), 英語, AP-ASIC '99. The First IEEE Asia Pacific Conference
    発表日 1999年
  • A noise-immune GHz-clock distribution scheme using synchronous distributed oscillators
    H. Mizuno; K. Ishibashi
    口頭発表(一般), 英語, 1998 IEEE International Solid-state Circuits Conference
    発表日 1998年
  • A delay distribution squeezing scheme with speed-adaptive threshold-voltage CMOS (SA-Vt CMOS) for low voltage LSls
    M. Miyazaki; H. Mizuno; K. Ishibashi
    口頭発表(一般), 英語, 1998 International Symposium on Low Power Electronics and Design
    発表日 1998年
  • A 200 MHz 1.2 W 1.4 GFLOPS microprocessor with graphic operation unit
    O. Nishii; F. Arakawa; K. Ishibashi; S. Nakano; T. Shimura; K. Suzuki; M. Tachibana; Totsuka; T. Tsunoda; K. Uchiyama; T. Yamada; T. Hattori; H. Maejima; N. Nakagawa; S. Narita; M. Seki; Y. Shimazaki; R. Satomura; T. Takasuga; A. Hasegawa
    口頭発表(一般), 英語, 1998 IEEE International Solid-state Circuits Conference
    発表日 1998年
  • A Lean-power Gigascale LSI Using Hierarchical V/sub bb/ Routing Scheme With Frequency Adaptive V/sub t/ CMOS
    H. Mizuno; M. Miyazaki; K. Ishibashi; Y. Nakagome; T. Nagano
    口頭発表(一般), 英語, 1997 Symposium on VLSI Circuits
    発表日 1997年
  • The Design Of 300MIPS Microprocessor With A Full Associative TLB For Hand-held PC OS
    K. Ishibashi; H. Higuchi; Y. Shimbo; F. Arakawa; O. Nishii; N. Nakagawa; H. Maejima; K. Osada; K. Norisue; R. Satomura; H. Aoki; Y. Shimazaki; K. Tanaka; T. Hattori; K. Shiozawa; K. Kudo; K. Uchiyama; S. Narita; J. Nishimoto; T. Nagano; S. Ikeda; K. Kuroda; T. Takeda; N. Hashimoto
    口頭発表(一般), 英語, 1997 Symposium on VLSI Circuits
    発表日 1997年
  • A Lean-power Gigascale LSI Using Hierarchical Vbb Routing Scheme With Frequency Adaptive Vt CMOS
    K. Osada; H. Higuchi; K. Ishibashi; N. Hashimoto; K. Shiozawa
    口頭発表(一般), 英語, 1997 IEEE International Solid-state Circuits Conference
    発表日 1997年
  • A cost-oriented two-port unified cache for low-power RISC microprocessors
    H. Mizuno; K. Ishibashi
    口頭発表(一般), 英語, 1996 Symposium on VLSI CIrcuits
    発表日 1996年
  • A 1 V 100 MHz 10 mW cache using separated bit-line memory hierarchy and domino tag comparators
    H. Mizuno; N. Matsuzaki; K. Osada
    口頭発表(一般), 英語, 1996 IEEE International Solid-state Circuits Conference
    発表日 1996年
  • A 300 MHz 4-Mb wave-pipeline CMOS SRAM using a multi-phase PLL
    K. Ishibashi; K. Komiyaji; H. Toyoshima; R. Minami; N. Ohki; H. Ishida; T. Yamanaka; T .Nagano; T. Nishida
    口頭発表(一般), 英語, 1995 IEEE International Solid-state Circuits Conference
    発表日 1995年
  • A low-power single-chip microprocessor with multiple page-size MMU for nomadic computing
    S. Narita; K. Ishibashi; S. Tachibana; K. Norisue; Y. Shimazaki; J. Nishimoto; K. Uchiyama; T. Nakazawa; K. Hirose; I. Kudoh; R. Izawa; S. Matsui; S. Yoshioka; M. Yamamoto; I. Kawasaki
    口頭発表(一般), 英語, 1995 Symposium on VLSI Circuits
    発表日 1995年
  • An automatic-power-save cache memory for low-power RISC processors
    Y. Shimazaki; K. Ishibashi; K. Norisue; S. Narita; K. Uchiyama; T. Nakazawa; I. Kudoh; R. Izawa; S. Yoshioka; S. Tamaki; S. Nagata; I. Kawasaki; K. Kuroda
    口頭発表(一般), 英語, IEEE Symposium on Low Power Elevtronics and design 1995
    発表日 1995年
  • A 6.93-μm2 n-gate full CMOS SRAM cell technology with high-performance 1.8-V dual-gate CMOS for peripheral circuits
    M. Minami; N. OhkiH. Ishida; T. Yamanaka; A. Shimizu; K. Ishibashi; A. Satoh; T. Kure; T. Nishida; T. Nagano
    口頭発表(一般), 英語, 1995 Symposium on VLSI Technology
    発表日 1995年
  • A 6-ns 4-mb Cmos Sram With Offset-voltage-insensitive Current Sense Amplifiers
    K. Ishibashi; K. Takasugi; K. Komiyaji; H. Toyoshima; T. Yamanaka; A . Fuk ami; N.Hashimoto; N. Ohki; A. Shimizu; T. Hashimoto; T. Nagano; T. Nishida
    口頭発表(一般), 英語, 1994 Symposium on VLSI Circuits
    発表日 1994年
  • A stacked split word-line (SSW) cell for low-voltage operation, large capacity, high speed SRAMs
    Shuji Ikeda; Kyoichiro Asayama; Naotaka Hashimoto; Eri Fujita; Yasuko Yoshida; Atsuyosi Koike; Toshiaki Yamanaka; Koichiro Ishibashi; Satoshi Meguro
    口頭発表(一般), 英語, IEDM Tech. Dig.
    発表日 1993年12月
  • A 12.5ns 16Mb CMOS SRAM
    K. Ishibashi; K. Takasugi; T. Hashimoto; K. Sasaki
    口頭発表(一般), 英語, 1993 Symposium on VLSI Circuits
    発表日 1993年
  • A 7 ns 140 mW 1 Mb CMOS SRAM with current sense amplifier
    K. Sasaki; K. Ishibashi; K. Ueda; K. Komiyaji; T. Yamanaka; N.Hashimoto; H.T oyos him a; F .Kojima; A. Shimizu
    口頭発表(一般), 英語, 1992 IEEE International Solid-state Circuits Conference
    発表日 1992年
  • A 1 V TFT-load SRAM using a two-step word-voltage method
    K. Ishibashi; K. Takasugi; T. Hashimoto; K. Sasaki
    口頭発表(一般), 英語, 1992 IEEE International Solid-state Circuits Conference
    発表日 1992年
  • Low power, low voltage memories for portable electronics
    O. Minato; K. Ishibashi
    口頭発表(一般), 英語, 1991 International Symposium on Technology, Systems, and Applications
    発表日 1991年
  • A 1.7V Adjustable I/O Interface for Low Voltage Fast SRAMs
    K. Ishibashi; K. Sasaki; T. Yamanaka; H. Toyoshima; F. Kojima
    口頭発表(一般), 英語, 1991 Symposium on VLSI Circuits
    発表日 1991年
  • ポリPMOS負荷型メモリセルのソフトエラー耐性向上手法
    植田清治; 佐々木勝朗; 石橋孝一郎; 山中俊明; 日立製作所中央研究所
    口頭発表(一般), 日本語, 1991年電子情報通信学会秋季大会
    発表日 1991年
  • A 5.9 μm2 super low power SRAM cell using a new phase-shift lithography
    T. Yamanaka; N. Hasegawa; T. Tanaka; K. Ishibashi; T. Hashimoto; A. Shimizu; N. Hashimoto; K. Sasaki; T. Nishida; E. Takeda
    口頭発表(一般), 英語, 1990 International Electron Devices Meeting
    発表日 1990年
  • A 23 ns 4 Mb CMOS SRAM with 0.5 μA standby current
    K. Sasaki; K. Ishibashi; T. Yamanaka; K. Shimohigashi; N. Moriwaki; S. Honjo; S. Ikeda; A Koike; S, Meguro; O. Minato
    口頭発表(一般), 英語, 1990 IEEE International Solid-state Circuits Conference
    発表日 1990年
  • An alpha-immune, 2V supply voltage SRAM using polysilicon PMOS load cell
    K. Ishibashi; T. Yamanaka; K. Shimohigashi
    口頭発表(一般), 英語, 1989 Symposium on VLSI Circuits
    発表日 1989年
  • A 9 ns 1 Mb CMOS SRAM
    K. Sasaki; S. Hanamura; K. Ishibashi; T. Yamanaka; N. Hashimoto; T. Nishida; K. Shimohigashi; S. Honjo
    口頭発表(一般), 英語, 1989 IEEE International Solid-state Circuits Conference
    発表日 1989年
  • A 25 μm2, new poly-Si PMOS load (PPL) SRAM cell having excellent soft error immunity
    T. Yamanaka; T. Hashimoto; N. Hashimoto; T. Nishida; A. Shimuzu; K. Ishibashi; Y. Sakai; K. Shimohigashi; E. Takeda
    口頭発表(一般), 英語, 1988 Electron Devices Meeting, Technical Digest, International
    発表日 1988年
  • A 42ns 1Mb CMOS SRAM
    O. Minato; T. Sasaki; S. Honjo; K. Ishibashi; Y. Sasaki; N. Moriwaki; K. Nishimura; Y. Sakai; S. Meguro; M. Tsunematsu; T. Masuhara
    口頭発表(一般), 英語, 1987 IEEE International Solid-state Circuits Conference
    発表日 1987年
  • シリサイドドレイン技術のSRAMへの応用
    石橋孝一郎; 湊修; 増原利明; 日立製作所中央研究所
    口頭発表(一般), 日本語, 電子情報通信学会創立70周年記念総合全国大会
    発表日 1987年
  • Formation of SPE-CoSi2 Submicron Line by Lift Off Using Selective Reaction
    K. Ishibashi; S. Furukawa
    口頭発表(一般), 英語, 1984 International Conference on Solid-state Devices and Materials
    発表日 1984年
  • Si permeable base transistor by metal/semiconductor hetero-epitaxy
    K. Ishibashi; S. Furukawa
    口頭発表(一般), 英語, 1984 International Electron Devices Meeting
    発表日 1984年
  • Study on Formation of Solid-Phase-Epitaxial CoSi2 Films and Patterning Effects
    K. Ishibashi; H. Ishiwara; S. Furukawa
    口頭発表(一般), 英語, 1983 International Conference on Solid-state Devices and Materials
    発表日 1983年

担当経験のある科目_授業

  • Innovative Comprehensive Communications Design 1
    The University of Electro-Communications
  • イノベイティブ総合コミュニケーションデザイン1
    電気通信大学
  • 電子回路学(III類)
    The University of Electro-Communications
  • 電子回路学(III類)
    電気通信大学
  • International Communication for Science and Technology
    The University of Electro-Communications
  • 国際科学技術コミュニケーション論
    電気通信大学
  • Information and Communications Technologies for SDGs
    The University of Electro-Communications
  • SDGsを支える情報通信論
    電気通信大学
  • Electronics Circuit K katei
    The University of Electro-Communications
  • 電子回路学 K課程
    電気通信大学
  • Special Lecture on Integrated Circuit Design
    The University of Electro-Communications
  • Electronics Circuit
    The University of Electro-Communications
  • Low Power LSI Circuit Design
    Danang University, University of Science and Technlogy
  • Low Power LSI Circuit Design
    Danang University, University of Science and Technlogy
  • Low Power LSI Circuit Design
    Ho Chi Minh City University of Science
  • Low Power LSI Circuit Design
    Ho Chi Minh City University of Science
  • Low Power LSI Circuit Design
    Ho Chi Minh City University of Science
  • 電子回路学 (II類)
    電気通信大学
  • 電子回路学 (III類)
    電気通信大学
  • Electronics Circuits
    The University of Electro-Communications
  • 電子回路学 (K課程)
    電気通信大学
  • Integrated Circuit Design Advanced Course
    The University of Electro-Communications
  • 集積回路設計特論
    電気通信大学
  • 集積回路特論
    電気通信大学
  • 集積回路特論
    電気通信大学
  • 基礎電子回路
    電気通信大学
  • 基礎電子回路
    電気通信大学
  • 先進理工学基礎
    電気通信大学
  • 先進理工学基礎
    電気通信大学
  • 電子回路学
    電気通信大学
  • 基礎電気電子回路II
    The University of Electro-Communications
  • 基礎電子工学
    The University of Electro-Communications
  • VLSI Low Power Circuit Design
    電気通信大学
  • 電子回路学
    The University of Electro-Communications
  • 電子回路学
    電気通信大学
  • 基礎電気電子回路II
    電気通信大学
  • 基礎電気電子回路II
    電気通信大学
  • VLSI Low Power Circuit Design
    The University of Electro-Communications
  • VLSI Low Power Circuit Design
    電気通信大学
  • 基礎電子工学
    電気通信大学
  • 基礎電子工学
    電気通信大学

所属学協会

  • IEEE
  • 電子情報通信学会

共同研究・競争的資金等の研究課題

  • マルチ生体センサの機能的な融合による新型感染症検疫システムの実用化に関する研究
    孫光鎬
    研究期間 2019年04月01日 - 2021年03月31日
  • Super Steep トランジスタ とMeta Materialアンテナ によるnW級環境RF発電技術の創出
    JST CREST
    研究期間 2016年10月01日 - 2020年03月31日
  • エネルギーハーベスト電源とこれを活用した低電力データセントリックセンサネットワークシステムの研究
    半導体理工学研究センター
    研究期間 2015年04月01日 - 2018年03月31日
  • Heterogeneous Wireless Sensor Network Monitoring Water Condition for Strengthening Aquaculture Industry in Vietnam
    Asia Pacific Telecommunity (APT)
    研究期間 2015年02月01日 - 2015年12月31日
  • Low Power Wireless Water quality Monitoring System
    研究期間 2013年04月01日 - 2015年03月31日
  • エネルギーハーベスト電源とこれを活用した低電力データセントリックセンサネットワークシステムの研究
    半導体理工学研究センター
    研究期間 2015年03月31日

産業財産権

  • 無線センサ装置及び無線センサシステム
    特許権, 特願2016-198879, 出願日: 2016年10月07日
  • 無線電力測定装置
    特許権, 石橋孝一郎, KI201401, 出願日: 2014年01月14日
  • 低電力プロセッサ
    特許権, 特願2007-101243,P2007-101243, 出願日: 2007年04月09日, 特開2007-259463,P2007-259463A, 公開日: 2007年10月04日, 特許4521619,P4521619, 発行日: 2010年08月11日